帳號:guest(18.226.200.38)          離開系統
字體大小: 字級放大   字級縮小   預設字形  

詳目顯示

以作者查詢圖書館館藏以作者查詢臺灣博碩士論文系統以作者查詢全國書目
作者(中文):陳博揚
作者(外文):Chen, Bo Yang
論文名稱(中文):考慮多重微影技術之下整數倍列高標準元件的擺置修正技術
論文名稱(外文):Multi-Patterning Aware Detailed Placement Refinement for Designs with Multi-Row Height Cells
指導教授(中文):王廷基
指導教授(外文):Wang, Ting Chi
口試委員(中文):陳勝雄
麥偉基
口試委員(外文):Chen, Samson
Mak, Wai Kei
學位類別:碩士
校院名稱:國立清華大學
系所名稱:資訊工程學系
學號:104062504
出版年(民國):106
畢業學年度:105
語文別:英文中文
論文頁數:33
中文關鍵詞:多重微影技術整數倍列高標準元件多層的標準元件結構擺置修正技術
外文關鍵詞:multiple patterning lithographymulti-row height cell structuremulti-layer cell structurePlacement Refinement
相關次數:
  • 推薦推薦:0
  • 點閱點閱:192
  • 評分評分:*****
  • 下載下載:0
  • 收藏收藏:0
隨著製程圖案逐漸縮小,與超紫外光微影技術、定向自組裝以及電子束微影技術一樣,多重微影技術已被視為是最有前景的微影技術之一。除此之外,許多不同的標準元件結構也被提出。多層的標準元件結構被用來改善標準元件內部的可繞性;整數倍高的標準元件結構被用來考量耗能、效能以及面積的影響。由於修正擺置時可能會造成其他新的著色衝突,而且移動整數倍高標準元件時可能會在其他列產生元件重疊的情形。基於上述的兩個情形,標準元件的細部擺置問題的難度急遽增加。
在這篇論文中,我們提出了一個修正整數倍高標準元件擺置結果的方法,使得該擺置結果每一層的著色衝突盡量的減少,並且同時減少元件的移動距離。此外我們提出了一個未著色元件群的概念,此概念在修正元件擺置時比著色衝突更加重要。藉由消除未著色元件群而不產生新的著色衝突的方式,我們方法中每個區域修正及全局修正的階段裡未消除的未著色元件群會嚴格的遞減。而實驗結果也顯示了我們的方法可以在合理的時間內迅速地消除幾乎全部的未著色元件群。
As the feature size further decreases, multiple patterning lithography (MPL) has been regarded as one of the most promising lithography solutions, along with extreme ultraviolet lithography (EUVL), directed self-assembly (DSA), and electron beam lithography (EBL). Besides, several different cell structures have been proposed. The cell structure with multiple layers is adopted to improve the intra-cell routability. The use of multi-row height cells has been taken into consideration for power, performance and area concerns. Therefore, the difficulty to refine a cell-based detailed placement increases because of not only the occurrence of new coloring conflicts during refinement but also the cell overlap while shifting multi-row height cells.

In this thesis, we propose a method to refine a given placed design with multi-row height cells and make it have as few coloring conflicts as possible in every layer, while minimizing the total cell displacement. Furthermore, a concept of uncolored cell group (UCG) is presented, which is more important than coloring conflicts during refinement. By eliminating UCGs without generating any new coloring conflict, the number of UCGs strictly decreases in both local and global refinement stages of our method. The experimental results show that our method can eliminate almost all UCGs in a reasonable runtime.
1 Introduction 1
2 Problem Formulation and Overall Flow of Our Methodology 5
2.1 Problem Formulation . . . . . . . . . . . . . . . . . . . . . . . . . . . 5
2.2 Overall Flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5
3 Initial Coloring 8
3.1 MPL Layout Decomposition . . . . . . . . . . . . . . . . . . . . . . . 8
3.1.1 Con
ict Graph Construction . . . . . . . . . . . . . . . . . . . 8
3.1.2 Graph Reduction . . . . . . . . . . . . . . . . . . . . . . . . . 9
3.1.3 Vertex Coloring . . . . . . . . . . . . . . . . . . . . . . . . . . 12
3.2 UCG Identification . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12
4 Placement Refinement 14
4.1 Multiple Patterning Aware Placement Refinement . . . . . . . . . . . 14
4.1.1 Local Coloring Method . . . . . . . . . . . . . . . . . . . . . . 15
4.1.2 Overlapped UCGs . . . . . . . . . . . . . . . . . . . . . . . . 16
4.1.3 Local Refinement . . . . . . . . . . . . . . . . . . . . . . . . . 17
4.1.4 Global Refinement . . . . . . . . . . . . . . . . . . . . . . . . 20
4.1.5 UCG Simplification . . . . . . . . . . . . . . . . . . . . . . . . 21
4.2 Multiple Layer Aware Placement Refinement . . . . . . . . . . . . . . 21
5 Experimental Results 23
5.1 Results for Our Benchmarks . . . . . . . . . . . . . . . . . . . . . . . 23
5.1.1 Cell Library Construction . . . . . . . . . . . . . . . . . . . . 23
5.1.2 Experimental Results . . . . . . . . . . . . . . . . . . . . . . . 24
5.2 Results for Industry Benchmarks . . . . . . . . . . . . . . . . . . . . 25
6 Conclusion 30
[1] A. B. Kahng, C.-H. Park, X. Xu, and H. Yao, "Layout decomposition for double patterning lithography," in Proceedings of International Conference on Computer-Aided Design, pp. 465~472, 2008.
[2] K. Yuan, J.-S. Yang, and D. Z. Pan, "Double patterning layout decomposition for simultaneous conict and stitch minimization," in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 29, no. 2, pp. 185~196, 2010.
[3] B. Yu, K. Yuan, B. Zhang, D. Ding, and D. Z. Pan, "Layout decomposition for triple patterning lithography," in Proceedings of International Conference on Computer-Aided Design, pp. 1~8, 2011.
[4] X. Tang and M. Cho, "Optimal layout decomposition for double patterning technology," in Proceedings of International Conference on Computer-Aided Design, pp. 9~13, 2011.
[5] J.-S. Yang, K. Lu, M. Cho, K. Yuan, and D. Z. Pan, "A new graph-theoretic, multi-objective layout decomposition framework for double patterning lithography," in Proceedings of Asia and South Pacific Design Automation Conference, pp. 18~21, 2010.
[6] Y. Xu and C. Chu, "A matching based decomposer for double patterning lithography," in Proceedings of International Symposium on Physical Design, pp. 121~126, 2010.
[7] Y. Zhang, W.-S. Luk, H. Zhou, C. Yan, and X. Zeng, "Layout decomposition with pairwise coloring for multiple patterning lithography," in Proceedings of International Conference on Computer-Aided Design, pp. 170~177, 2011.
[8] S.-Y. Fang, Y.-W. Chang, and W.-Y. Chen, "A novel layout decomposition algorithm for triple patterning lithography," in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 33, no. 3, pp. 397~408, 2014.
[9] J. Kuang and E. F. Y. Young, "An efficient layout decomposition approach for triple patterning lithography," in Proceedings of Design Automation Conference, 2013.
[10] B. Yu, K. Yuan, D. Ding, and D. Z. Pan, "Layout decomposition for triple patterning lithography," in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, no. 3, pp. 433~446, 2015.
[11] B. Yu and D. Z. Pan, "Layout decomposition for quadruple patterning lithography and beyond," in Proceedings of Design Automation Conference, 2014.
[12] H.-Y. Chang and I. H.-R. Jiang, "Multiple patterning layout decomposition considering complex coloring rules," in Proceedings of Design Automation Conference, 2016.
[13] B. Yu, X. Xu, J.-R. Gao, Y. Lin, Z. Li, C. J. Alpert, and D. Z. Pan, "Methodology for standard cell compliance and detailed placement for triple patterning lithography," in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 34, no. 5, pp. 726~739, 2015.
[14] H.-A. Chien, Y.-H. Chen, S.-Y. Han, H.-Y. Lai, , and T.-C. Wang, "On refining row-based detailed placement for triple patterning lithography," in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 34, no. 5, pp. 778~793, 2015.
[15] B. Cline, X. Xu, G. M. Yeric, B. Yu, and D. Z. Pan, "Systematic framework for evaluating standard cell middle-of-line robustness for multiple patterning lithography," Journal of Micro/Nanolithography, Mems, and Moems, vol. 15, 2016.
[16] Y. Lin, B. Yu, B. Xu, and D. Z. Pan, "Triple patterning aware detailed placement toward zero cross-row middle-of-line confiict," in Proceedings of International Conference on Computer-Aided Design, pp. 396~403, 2015.
[17] Nangeate 15nm library. http://www.nangate.com/.
[18] S.-H. Baek, H.-Y. Kim, Y.-K. Lee, D.-Y. Jin, S.-C. Park, and J.-D. Cho, "Ultra-high density standard cell library using multi-height cell structure," in Proceedings of SPIE, pp. 72680C-1~72680C-8, 2008.
[19] G. Wu and C. Chu, "Detailed placement algorithm for vlsi design with double-row height standard cells," in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 35, no. 9, pp. 1569~1573, 2015.
[20] W.-K. Chow, C.-W. Pui, and E. F. Y. Young, "Legalization algorithm for multiple-row height standard cell design," in Proceedings of Design Automation Conference, 2016.
[21] W.-K. Chow, J. Kuang, X. He, W. Cai, and E. F. Young, "Cell density-driven detailed placement with displacement constraint," in Proceedings of International Symposium on Physical Design, pp. 3~10, 2014.
[22] B. Yu, Y.-H. Lin, G. Luk-Pat, D. Ding, K. Lucas, and X. Zeng, "A high-performance triple patterning layout decomposer with balanced density," in Proceedings of International Conference on Computer-Aided Design, pp. 163~169, 2013.
[23] Synopsys IC Compiler. http://www.synopsys.com.
[24] OpenCores designs. http://opencores.org/.
[25] Cadence Soc Encounter. http://www.cadence.com.
 
 
 
 
第一頁 上一頁 下一頁 最後一頁 top
* *