帳號:guest(3.147.75.192)          離開系統
字體大小: 字級放大   字級縮小   預設字形  

詳目顯示

以作者查詢圖書館館藏以作者查詢臺灣博碩士論文系統以作者查詢全國書目
作者(中文):王勝禾
作者(外文):Wang, Sheng He
論文名稱(中文):針對自對準雙重圖案改善標準元件細部擺置
論文名稱(外文):On Refining Cell-Based Detail Placement for Self-Aligned Double Patterning
指導教授(中文):王廷基
指導教授(外文):Wang, Ting Chi
口試委員(中文):何宗易
麥偉基
口試委員(外文):Ho, Tsung Yi
Mak, Wai Kei
學位類別:碩士
校院名稱:國立清華大學
系所名稱:資訊工程學系
學號:103062625
出版年(民國):106
畢業學年度:105
語文別:英文中文
論文頁數:30
中文關鍵詞:細部擺置自對準雙重圖案改善標準元件
外文關鍵詞:Detail PlacementSelf-Aligned Double PatterningRefining Cell-Based
相關次數:
  • 推薦推薦:0
  • 點閱點閱:518
  • 評分評分:*****
  • 下載下載:10
  • 收藏收藏:0
隨著製程不斷演進,自對準雙重圖案成為未來有希望的微影技術之一。現有針對自對準雙重圖案的研究大部分都集中在佈局分解和繞線上,只有非常少的研究是在擺置階段。然而,在最終佈局上針對不利自對準雙重圖案進行修改非常困難,因此,如何在擺置階段時得到一個對自對準雙重圖案友善的佈局非常重要。
在本篇論文中,我們針對自對準雙重圖案改善標準元件細部擺置的問題進行研究,此問題要求對細部擺置進行改善並及找出合法自對準雙重圖案佈局分解,使得疊加層違反量以及線長能越小越好。在以空白空間插入和元件翻轉的技術之下,我們提出一個方法解決此問題。實驗結果顯示我們所提出的演算法具有良好的效益。
As process nodes continue to shrink, self-aligned double pattern (SADP) has become one of the most promising techniques for advanced lithography. Existing works for SADP focus more on layout decomposition and routing, while very few attempts are on placement. However, modifying SADP unfriendly patterns in a final layout requires high efforts, so how to generate an SADP friendly layout in an early physical design stage such as placement becomes important.
In this thesis, we study the problem of refining a standard cell placement for SADP, which asks to simultaneously refine a detailed placement and find a valid SADP layout decomposition such that both overlay violation and wirelength are as small as possible. Based on the techniques of white space insertion and cell flipping, we propose an approach to the addressed placement refinement problem. Experimental results show the efficacy of our approach
1 Introduction 1
2 Preliminaries and Problem Formulation 4
2.1 Preliminaries . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4
2.2 Problem Formulation . . . . . . . . . . . . . . . . . . . . . . . . . . . 4
3 Review of SADP-aware Single-row Placement Algorithms 6
3.1 Construction of Cell Solution Graph . . . . . . . . . . . . . . . . . . 6
3.2 Construction of Cell Boundary Solution Graph . . . . . . . . . . . . . 9
3.3 SADP-aware Single-Row Placement Algorithms . . . . . . . . . . . . 10
3.3.1 Algorithm with White Space Insertion . . . . . . . . . . . . . 10
3.3.2 Algorithm with White Space Insertion and Cell Flipping . . . 14
3.3.3 Remarks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14
4 SADP-aware Placement Re nement Algorithms 16
4.1 Re nement for SADP Decomposition . . . . . . . . . . . . . . . . . . 16
4.2 Re nement for Wirelength Minimization . . . . . . . . . . . . . . . . 17
5 Experimental Results 22
6 Conclusion 27
[1] Y. Wei and R. L. Brainard, "Advanced processes for 193-nm immersion lithography," in SPIE Press Book, pp. 215-225, 2009.
[2] W. Arnold, M. Dusa, and J. Finders, "Manufacturing challenges in double patterning lithography," in Proceedings of International Symposium on Semiconductor Manufacturing, pp. 283-286, 2006.
[3] G. E. Bailey, A. Tritchkov, J.-W. Park, L. Hong, V. Wiaux, E. Hendrickx, S. Verhaegen, P. Xie, and J. Versluijs, "Double pattern eda solutions for 32nm hp and beyond," in Proceedings of SPIE, vol. 6521, pp. 65211K-1-65211K-12, 2007.
[4] A. B. Kahng, C.-H. Park, X. Xu, and H. Yao, "Layout decomposition for double patterning lithography," in Proceedings of International Conference on Computer-Aided Design, pp. 465-472, 2008.
[5] Y. Ma, J. Sweis, H. Yoshida, Y. Wang, J. Kye, and H. J. Levinson, "Self-aligned double patterning (sadp) compliant design ow," in Proceedings of SPIE, vol. 8327, pp. 832706-1-832706-13, 2012.
[6] K. Oyama, E. Nishimura, M. Kushibiki, K. Hasebe, S. Nakajima, H. Murakami, A. Hara, S. Yamauchi, S. Natori, K. Yabe, et al., "The important challenge to extend spacer dp process towards 22nm and beyond," in Proceedings of SPIE, vol. 7639, pp. 763907-1-763907-6, 2010.
[7] H. Yaegashi, K. Oyama, K. Yabe, S. Yamauchi, A. Hara, and S. Natori, "Novel approaches to implement the self-aligned spacer double-patterning process toward 11-nm node and beyond," in Proceedings of SPIE, vol. 7972, pp. 79720B-1-79720B-7, 2011.
[8] I.-J. Liu, S.-Y. Fang, and Y.-W. Chang, "Overlay-aware detailed routing for self-aligned double patterning lithography using the cut process," in Proceedings of Design Automation Conference, pp. 1-6, 2014.
[9] Z. Xiao, Y. Du, H. Tian, and M. D. Wong, "Optimally minimizing overlay violation in selfaligned double patterning decomposition for row-based standard cell layout in polynomial time," in Proceedings of International Conference on Computer-Aided Design, pp. 32-39,2013.
[10] G. Luk-Pat, A. Miloslavsky, B. Painter, L. Lin, P. De Bisschop, and K. Lucas, "Design compliance for spacer is dielectric (sid) patterning," in Proceedings of SPIE, vol. 8326, pp. 83260D-1-83260D-13, 2012.
[11] J.-R. Gao, B. Yu, R. Huang, and D. Z. Pan, "Self-aligned double patterning friendly conguration for standard cell library considering placement impact," in Proceedings of SPIE, vol. 8684, pp. 868406-1-868406-10, 2013.
[12] J.-R. Gao and D. Z. Pan, "Flexible self-aligned double patterning aware detailed routing with prescribed layout planning," in Proceedings of International Symposium on Physical Design, pp. 25-32, 2012.
[13] M. Mirsaeedi, J. A. Torres, and M. Anis, "Self-aligned double-patterning (sadp) friendly detailed routing," in Proceedings of SPIE, vol. 7974, pp. 79740O-1-79740O-9, 2011.
[14] C. Kodama, H. Ichikawa, K. Nakayama, T. Kotani, S. Nojima, S. Mimotogi, S. Miyamoto, and A. Takahashi, "Self-aligned double and quadruple patterning-aware grid routing with hotspots control," in Proceedings of Asia and South Pacific Design Automation Conference, pp. 267-272, 2013.
[15] Y. Ban, K. Lucas, and D. Pan, "Flexible 2d layout decomposition framework for spacer-type double pattering lithography," in Proceedings of Design Automation Conference, pp. 789-794, 2011.
[16] H. Zhang, Y. Du, M. D. Wong, and R. Topaloglu, "Self-aligned double patterning decomposition for overlay minimization and hot spot detection," in Proceedings of Design Automation Conference, pp. 71-76, 2011.
[17] Z. Xiao, Y. Du, H. Zhang, and M. D. Wong, "A polynomial time exact algorithm for selfaligned double patterning layout decomposition," in Proceedings of International Symposium on Physical Design, pp. 17-24, 2012.
[18] Y.-H. Chen, Single Row Cell Placement Considering Self Aligned Double Patterning. Master thesis, National Tsing Hua University, 2016.
[19] M. Ziegelmann, Constrained shortest paths and related problems. Ph.D. thesis, Universit sitatsbibliothek, 2001.
[20] A. B. Kahng, P. Tucker, and A. Zelikovsky, "Optimization of linear placements for wirelength minimization with free sites," in Proceedings of Asia and South Pacific Design Automation Conference, pp. 241-244, 1999.
[21] H.-A. Chien, Y.-H. Chen, S.-Y. Han, H.-Y. Lai, and T.-C. Wang, "On refining row-based detailed placement for triple patterning lithography," in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 34, no. 5, pp. 778-793, 2015.
[22] "Nangate Open Cell Library." https://projects.si2.org/openeda.si2.org/projects/nangatelib.
 
 
 
 
第一頁 上一頁 下一頁 最後一頁 top
* *