帳號:guest(18.226.163.72)          離開系統
字體大小: 字級放大   字級縮小   預設字形  

詳目顯示

以作者查詢圖書館館藏以作者查詢臺灣博碩士論文系統以作者查詢全國書目
作者(中文):邱建邦
作者(外文):Chiu, Chien Pang
論文名稱(中文):針對提高可靠性之容錯矽穿通道架構評估
論文名稱(外文):Architectural Evaluations on TSV Redundancy for Reliability Enhancement
指導教授(中文):黃婷婷
指導教授(外文):Hwang, TingTing
口試委員(中文):黃俊達
吳凱強
學位類別:碩士
校院名稱:國立清華大學
系所名稱:資訊工程學系
學號:103062556
出版年(民國):105
畢業學年度:104
語文別:英文
論文頁數:27
中文關鍵詞:矽穿通道容錯可靠性
外文關鍵詞:TSVredundancyreliability
相關次數:
  • 推薦推薦:0
  • 點閱點閱:329
  • 評分評分:*****
  • 下載下載:9
  • 收藏收藏:0
三維積體電路被認為是可以克服晶片電晶體數量不足問題的方法,三維積
體電路是利用矽穿通道來做晶粒的推疊,讓訊號可以在晶片上垂直地傳輸。但是晶片在運作時可能導致矽穿通道的毀壞,因此,可靠性在設計時是很重要的議題。容錯矽穿通道設計是一個提升可靠性的有效方法。在這篇論文中,我們會根據效率與成本來研究各種容錯矽穿通道設計的權衡取捨。為了讓可靠性的測量更具有真實性,我們提出了一個新的標準「修復率」來評估容錯矽穿通道設計的好壞。此外,為了設計一個更靈活且更有效的架構,我們加強環狀結構設計 [1],讓這個架構可以調整大小以及容錯矽穿通道的比例。
Three-dimensional Integrated Circuits (3D-ICs) is a next-generation technology that could be a solution to overcome scaling problem. It stacks dies with Through-Silicon Vias (TSVs) so that signals can transmit through dies vertically. TSV may fail when a chip is working. Hence, reliability is an important issue in design time. TSV redundancy is one of effective methods to enhance reliability. In this paper, we will study the tradeoff of various TSV redundancy architectures in terms of effectiveness and cost. To allow the measurement of reliability more realistic, we propose a new standard, repair rate, to appraise the TSV redundancy architectures. Moreover, to design a more flexible and efficient structure, we enhance the ring-based architecture [1] that can adjust grid size and TSV redundancy.
1 Introduction 1
2 Reliability 4
2.1 Metric for reliability . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4
2.2 Motivation and objective . . . . . . . . . . . . . . . . . . . . . . . . . . . 5
3 Evaluation Flow 8
4 Enhancement of Ring-based Architecture 11
4.1 The number of Redundant TSVs and repair rate . . . . . . . . . . . . 11
4.2 Two methods for MUX-area cost reduction . . . . . . . . . . . . . . . 13
5 Evaluation of Four Redundancy Architectures 17
6 Conclusions 24
[1] Wei-Hen Lo, Kang Chi, and TingTing Hwang. Architecture of ring-based redundant tsv for clustered faults. In Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, pages 848-853. EDA Consortium, 2015.
[2] Suk-Kyu Ryu, Kuan-Hsun Lu, Xuefeng Zhang, Jang-Hi Im, Paul S Ho, and Rui Huang. Impact of near-surface thermal stresses on interfacial reliability of through-silicon vias for 3-d interconnects. Device and Materials Reliability, IEEE Transactions on, 11(1):35-43, 2011.
[3] YC Tan, Cher Ming Tan, XW Zhang, Tai Chong Chai, and DQ Yu. Electromigration performance of through silicon via (tsv)-a modeling approach. Microelectronics
Reliability, 50(9):1336-1340, 2010.
[4] Mohit Pathak, Jiwoo Pak, David Z Pan, and Sung Kyu Lim. Electromigration modeling and full-chip reliability analysis for beol interconnect in tsv-based 3d ics. In Proceedings of the International Conference on Computer-Aided Design, pages 555-562. IEEE Press, 2011.
[5] Thomas Frank, Stephane Moreau, Cedrick Chappaz, Lucile Arnaud, Patrick Leduc, Aurelie Thuaire, and Lorena Anghel. Electromigration behavior of 3d-ic tsv interconnects. In Electronic Components and Technology Conference (ECTC), 2012 IEEE 62nd, pages 326-330. IEEE, 2012.
[6] Uksong Kang, Hoe-Ju Chung, Seongmoo Heo, Duk-Ha Park, Hoon Lee, Jin Ho Kim, Soon-Hong Ahn, Soo-Ho Cha, Jaesung Ahn, DukMin Kwon, et al. 8 gb 3-d ddr3 dram using through-silicon-via technology. Solid-State Circuits, IEEE Journal of, 45(1):111-119, 2010.
[7] Ang-Chih Hsieh and TingTing Hwang. Tsv redundancy: architecture and design issues in 3-d ic. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on,
20(4):711-722, 2012.
[8] Li Jiang, Qiang Xu, and Bill Eklow. On e ective tsv repair for 3d-stacked ics. In Design, Automation & Test in Europe Conference & Exhibition (DATE), 2012, pages 793-798. IEEE, 2012.
[9] T Frank, C Chappaz, P Leduc, L Arnaud, S Moreau, Aurelie Thuaire, R El Farhane, F Lorut, and L Anghel. Resistance increase due to electromigration induced depletion under tsv. In IEEE International Reliability Physics Symposium (IRPS'11), Monterey, CA, USA, April 10-14, pages 3F-4. IEEE Computer Society, 2011.
[10] Li Jiang, Fangming Ye, Qiang Xu, Krishnendu Chakrabarty, and Bill Eklow. On effective and efficient in-field tsv repair for stacked 3d ics. In Proceedings of the 50th Annual Design Automation Conference, page 74. ACM, 2013.
[11] Fangming Ye and Krishnendu Chakrabarty. Tsv open defects in 3d integrated circuits: Characterization, test, and optimal spare allocation. In Proceedings of the 49th Annual Design Automation Conference, pages 1024-1030. ACM, 2012.
[12] Leda library. http://www.algorithmic-solutions.com/.
[13] Nangate. "the nategate 45nm open cell library". http://www.nangate.com/.
[14] Fu-Wei Chen, Hui-Ling Ting, and TingTing Hwang. Fault-tolerant tsv by using scan-chain test tsv. In Design Automation Conference (ASP-DAC), 2014 19th Asia and South Paci c, pages 658-663. IEEE, 2014.
 
 
 
 
第一頁 上一頁 下一頁 最後一頁 top
* *