|
[1] Lizhong Chen, Di Zhu, Massoud Pedram, and Timothy Mark Pinkston. Simulation of noc power-gating: Requirements, optimizations, and the agate simulator. J. Parallel Distrib. Comput., 95:69–78, 2016. [2] Lizhong Chen and Timothy Mark Pinkston. Nord: Node-router decoupling for effective power-gating of on-chip routers. In 45th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 2012, Vancouver, BC, Canada, December 1-5, 2012, pages 270–281, 2012. [3] Hiroki Matsutani, Michihiro Koibuchi, Daisuke Ikebuchi, Kimiyoshi Usami, Hiroshi Nakamura, and Hideharu Amano. Ultra fine-grained run-time power gating of on-chip routers for cmps. In NOCS 2010, Fourth ACM/IEEE International Symposium on Networks-on-Chip, Grenoble, France, May 3-6, 2010, pages 61–68, 2010. [4] Gwangsun Kim, John Kim, and Sungjoo Yoo. Flexibuffer: reducing leakage power in on-chip network routers. In Proceedings of the 48th Design Automation Conference, DAC 2011, San Diego, California, USA, June 5-10, 2011, pages 936–941, 2011. [5] Hemanta Kumar Mondal, Sri Harsha Gade, Raghav Kishore, and Sujay Deb. Powerand performance-aware fine-grained reconfigurable router architecture for noc. In Sixth International Green and Sustainable Computing Conference, IGSC 2015, Las Vegas, NV, USA, December 14-16, 2015, pages 1–6, 2015. [6] Ritesh Parikh, Reetuparna Das, and Valeria Bertacco. Power-aware nocs through routing and topology reconfiguration. In The 51st Annual Design Automation Conference 2014, DAC ’14, San Francisco, CA, USA, June 1-5, 2014, pages 162:1–162:6, 2014. [7] Hiroki Matsutani, Michihiro Koibuchi, Hideharu Amano, and Daihan Wang. Run-time power gating of on-chip routers using look-ahead routing. In Proceedings of the 13th Asia South Pacific Design Automation Conference, ASP-DAC 2008, Seoul, Korea, January 21-24, 2008, pages 55–60, 2008. [8] Lizhong Chen, Di Zhu, Massoud Pedram, and Timothy Mark Pinkston. Power punch: Towards non-blocking power-gating of noc routers. In 21st IEEE International Symposium on High Performance Computer Architecture, HPCA 2015, Burlingame, CA, USA, February 7-11, 2015, pages 378–389, 2015. [9] Tony Givargis. Zero cost indexing for improved processor cache performance. ACM Trans. Design Autom. Electr. Syst., 11(1):3–25, 2006. [10] Shashi Kumar, Axel Jantsch, Mikael Millberg, Johnny ¨Oberg, Juha-Pekka Soininen, Martti Forsell, Kari Tiensyrj¨a, and Ahmed Hemani. A network on chip architecture and design methodology. In 2002 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2002), 25-26 April 2002, Pittsburgh, PA, USA, pages 117–124, 2002. [11] Xiaowen Chen, Zhonghai Lu, Axel Jantsch, and Shuming Chen. Supporting distributed shared memory on multi-core network-on-chips using a dual microcoded controller. In Design, Automation and Test in Europe, DATE 2010, Dresden, Germany, March 8-12, 2010, pages 39–44, 2010. [12] Nathan L. Binkert, Bradford M. Beckmann, Gabriel Black, Steven K. Reinhardt, Ali G. Saidi, Arkaprava Basu, Joel Hestness, Derek Hower, Tushar Krishna, Somayeh Sardashti, Rathijit Sen, Korey Sewell, Muhammad Shoaib, Nilay Vaish, Mark D. Hill, and David A. Wood. The gem5 simulator. SIGARCH Computer Architecture News, 39(2):1–7, 2011. [13] Christian Bienia and Kai Li. Parsec 2.0: A new benchmark suite for chipmultiprocessors. In Proceedings of the 5th Annual Workshop on Modeling, Benchmarking and Simulation, June 2009. |