帳號:guest(3.145.111.115)          離開系統
字體大小: 字級放大   字級縮小   預設字形  

詳目顯示

以作者查詢圖書館館藏以作者查詢臺灣博碩士論文系統以作者查詢全國書目
作者(中文):羅介甫
作者(外文):Lo, Chieh Pu
論文名稱(中文):應用於電阻式隨機存取記憶體具動態臨界點差異採樣架構之小偏移電流感測放大器
論文名稱(外文):A Small Offset Current Sense Amplifier with Dynamic Trip-Point-Mismatch Sampling Scheme for Resistive Random Access Memories
指導教授(中文):張孟凡
指導教授(外文):Chang, Meng Fan
口試委員(中文):洪浩喬
金雅琴
口試委員(外文):Hong, Hao Chiao
King, Ya Chin
學位類別:碩士
校院名稱:國立清華大學
系所名稱:電機工程學系
學號:103061570
出版年(民國):105
畢業學年度:105
語文別:英文
論文頁數:59
中文關鍵詞:小偏移電流感測放大器動態臨界點差異採樣架構電阻式隨機存取記憶體
外文關鍵詞:Small Offset Current Sense AmplifierDynamic Trip-Point-Mismatch Sampling SchemeResistive Random Access Memories
相關次數:
  • 推薦推薦:0
  • 點閱點閱:383
  • 評分評分:*****
  • 下載下載:0
  • 收藏收藏:0
近年來,物聯網的急速發展使高容量、高速與低成本的非揮發性記憶體需求日益增加高並應用極廣。其中,快閃記憶體(NAND Flash Memory)因為提供了低成本與高容量的儲存空間,成了非揮發性記憶體中的主流。然而,快閃記憶體寫入速度慢且無法隨機存取,甚者,快閃記憶體在製成微縮時遇到了瓶頸,因此有其必要開發下世代的非揮發興記憶體。而電阻式記憶體(ReRAM)是相當具有潛力的非揮發性記憶體,其特色為低寫入功耗、小面積、以及具有邏輯製成相容性,可降低製作成本。
一個電晶體和一個ReRAM的組合(1T1R),適合用在需要快速讀取和低供給電壓的內嵌式裝置應用上,特別是電池供給的物聯網裝置。隨著元件的微縮,ReRAM的阻值愈來愈高,且寫入時間和阻值的飄移量愈來愈大,造成高阻態和低阻態之間的R-ratio(RH/RL)縮小。因此ReRAM記憶體在讀取時會面臨到下列問題
1.R-Ratio變小以及製成飄移現象,使得讀取的感測範圍 (sensing margin)變小
2.R-Ratio變小進而造成讀取速度慢
在此,我們提出具動態臨界點差異採樣架構之小偏移電流感測放大器 (DTPMS-CSA)。我們提出的DTPMS-CSA的偏差比傳統讀取電路小4.8倍以上。更者,DTPMS-CSA的讀取速度可比傳統讀取電路快1.6倍以上以及3.1倍以上的讀取良率。
我們以65奈米製程實作Mb ReRAM記憶體晶片,在正常操作電壓1V以及位元線(Bitline)長度為512個與1024時,量測讀取速度分別為2.6ns和3.14ns。
In recent years, the growth of IoE devices requires non-volatile memory (NVM) for its large capacity, high speed, low energy and low cost. Flash memory has already been the mainstream of NVM since last century. However, flash memory doesn’t operate fast enough and cannot be accessed randomly. Furthermore, as technology proceeding, flash memory faces difficulties of scaling down to nanometer scale. Necessarily, researchers starts to seek candidates for replacing flash memory. Among all of the inventions, 1T1R ReRAM seems to be a promising choice due to its low write energy, fast speed and logic-process compatibility.
1T1R ReRAM cell is suitable for high speed and low supply voltage embedded applications, particularly for IoE devices with batteries. As size of ReRAM shrinking, cell resistance (RCELL) of ReRAM becomes higher and the wide write time distribution and RCELL reduces the R-Ratio (RH/RL) between high-RCELL state (HRS, RHRS) and low-RCELL state (LRS, RLRS). Thus, ReRAM memory macro suffers the following problem during read :
1.Small read sensing margin (ISM) due to small R-Ratio and process variation
2.Long access time (TCD) due to small R-Ratio
Here, we propose Dynamic Trip-Point-Mismatch Sampling Current Sense Amplifier (DTPMS-CSA) to solve the reading problem. DTPMS-CSA achieves 4.8x~7.1x smaller IOS than conventional Current-Latch CSA (CL-CSA). In addition, DTPMS-CSA achieves at least 1.6x faster speed and 3.1x better yield than the conventional one.
We implement our proposed SA at a 2Mb 65nm ReRAM macro. At typical VDD and BL-Length=512, DTPMS-CSA achieved 2.6ns read access time. Even more, DTPMS is 1.19ns faster than that of conventional CL-CSA.
Contents
致謝 i
摘要 ii
Abstract iii
Contents v
List of Figures viii
List of Tables x
Chapter 1 Introduction 1
1.1 The Role of Memory in IoE products 1
1.2 Memory Landscape 2
1.2.1 RAM 4
1.2.2 CAM 4
1.2.3 ROM 5
1.2.4 Programmable NVMs 5
1.3 Challenges of Flash Memory in Advanced Technology 6
1.4 Emerging Non-Volatile Memories 9
Chapter 2 Characteristic of Contact-RRAM 13
2.1 Structure of Contact-RRAM 13
2.2 Switching Mechanism 15
2.3 Writing Operation 16
2.4 Reading Operation 17
2.5 Distribution of CRRAM 18
Chapter 3 Design Challenges of High Speed Sensing 20
3.1 Structure and Operation of Conventional Sensing Schemes 20
3.2 Read Speed Comparisons between Voltage Type and Current Type Sense amplifier 23
3.3 Design Challenges 24
3.3.1 Threshold Voltage in Process 24
3.3.2 Issues of CRRAM 25
3.4 Previous Arts 26
Chapter 4 Proposed Circuits Schemes and Analysis 31
4.1 Proposed Sense Amplifier 31
4.1.1 Trip-point-Mismatch Sampling 31
4.1.2 Structure of Proposed Sense Amplifier 35
4.1.3 Operation of Proposed DTPMS-CSA 36
4.2 Analysis and Comparison 38
4.2.1 Offset Comparison 38
4.2.2 Speed Comparison 39
4.2.3 Yield Comparison 41
Chapter 5 Macro Implementation 43
5.1 CRRAM Macro 43
5.2 Design for Testchip 45
Chapter 6 Experimental Results and Conclusion 48
6.1 Measured Performance 48
6.2 Conclusions and Future Work 52
Reference 54

[1] Kiyoo Itoh, Takayasu Sakurai, “VLSI Memory Chip Design”, Springer-Verlag, NY, pp. 1-46, 2001.
[2] ITRS, “2001 Technology Roadmap For Semiconductors,” IEEE Computer, vol. 35, issue 1, pp. 42–53, Jan. 2002.
[3] Francesco Menichelli, Mauro Olivieri, “Static Minimization of Total Energy Consumption in Memory Subsystem for Scratchpad-Based Systems-on-Chips,” IEEE Transactions on Very Large Scale Integration Systems, vol. 17, issue 2, pp. 161-171, Jan. 2009.
[4] A. G. Hanlon, "Content-Addressable and Associative Memory Systems a Survey," Electronic Computers, IEEE Transactions on , vol.EC-15, no.4, pp.509,521, Aug. 1966
[5] C. C. Wang, C. J. Cheng, T. F. Chen and J. S. Wang, "An Adaptively Dividable Dual-Port BiTCAM for Virus-Detection Processors in Mobile Devices," Solid-State Circuits Conference, 2008. ISSCC 2008. Digest of Technical Papers. IEEE International , vol., no., pp.390,622, 3-7 Feb. 2008
[6] J. Li, R. K. Montoye, M. Ishii and L. Chang, “1 Mb 0.41 µm² 2T-2R Cell Nonvolatile TCAM With Two-Bit Encoding and Clocked Self-Referenced Sensing,” IEEE Journal of Solid-State Circuits, vol. 49, Issue 4, pp. 896-907, April. 2014.
[7] M. F. Chang, C. C. Lin, A. Lee, C. C. Kuo, G. H. Yang, H. J. Tsai, T. F. Chen, S. S. Sheu, P. L. Tseng, H. Y. Lee, T. K. Ku, “A 3T1R Nonvolatile TCAM Using MLC ReRAM with Sub-1ns Search Time,” IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp. 1-3, Feb. 2015.
[8] D. Smith, J. Zeiter, T. Bowman, J. Rahm, B. Kertis, A. Hall, S. Natan, L. Sanderson, R. Tromp, J. Tsang, “A 3.6ns 1Kb ECL I/O BiCMOS U.V. EPROM,” IEEE International Symposium on Circuits and Systems, vol. 3, pp. 1987-1990, May 1990.
[9] C. Kuo, M. Weidner, T. Toms, H. Choe, K. M. Chang, A. Harwood, J. Jelemensky, P. Smith, “A 512-kb flash EEPROM embedded in a 32-b microcontroller,” IEEE Journal of Solid-State Circuits, vol. 27, Issue 4, pp. 574-582, Apr. 1992.
[10] S. H. Kulkarni, Z. Chen, J. He, L. Jiang, M. B. Pedersen, K. Zhang, “A 4 kb Metal-Fuse OTP-ROM Macro Featuring a 2 V Programmable 1.37 μm2 1T1R Bit Cell in 32 nm High-k Metal-Gate CMOS,” IEEE Journal of Solid-State Circuits, vol. 45, Issue 4, pp. 863-868, Apr. 2010.
[11] Y. H. Tsai, H. M. Chen, H. Y. Chiu, H. S. Shih, H. C. Lai, Y. C. King, C. J. Lin, “45nm Gateless Anti-Fuse Cell with CMOS Fully Compatible Process,” IEEE International Electron Devices Meeting Digest of Technical Papers, pp. 95-98, Dec. 2007.
[12] Webfeet Inc., “Semiconductor industry outlook,” Non-Volatile Memory Conference, Santa Clara, CA., 2002
[13] S. L. Min, E. H. Nam, “Current trends in flash memory technology,” IEEE Asia and South Pacific Conference on Design Automation, pp. 24-27, Jan. 2006.
[14] F. Masuoka, M. Momodomi, Y. Iwata, R. Shirota, "New ultra high density EPROM and flash EEPROM with NAND structure cell," IEEE International Electron Devices Meeting Digest of Technical Papers, vol. 33, pp. 552-555, 1987.
[15] A. Bergemont, H. Haggag, L. Anderson, E. Shacham, G. Wolstenholme, "NOR virtual ground (NVG)-a new scaling concept for very high density flash EEPROM and its implementation in a 0.5 um process," IEEE International Electron Devices Meeting Digest of Technical Papers, pp. 15-18, Dec. 1993.
[16] R. Bez, E. Camerlenghi, A. Modelli, A. Visconti, "Introduction to Flash Memory," Proceeding of the IEEE, vol. 91, Issue 4, pp. 489-502, April 2003.
[17] Y. Koh, “NAND Flash Scaling beyond 20nm,” IEEE Internstional Memory Workshop, pp. 1-3, May 2009.
[18] K. Prall, “Scaling Non-Volatile Memory Below 30nm,” IEEE Non-Volatile Semiconductor Memory Workshop, pp. 5-10, Aug. 2007.
[19] S. Lee, "Scaling Challenges in NAND Flash Device toward 10nm Technology," IEEE International Memory Workshop, pp. 1-4, May 2012.
[20] J. Jang, H. S. Kim, W. Cho, H. Cho, J. Kim, S. I. Shim, Y. Jang, J. H. Jeong, B. K. Son, D. W. Kim, K. Kim, J. J. Shim, J. S. Lim, K. H. Kim, S. Y. Yi, J. Y. Lim, D. Chung, H. C. Moon, S. Hwang, J. W. Lee, Y. H. Son, U. I. Chung,W. S. Lee, "Vertical cell array using TCAT technology for ultra high density NAND flash memory," IEEE Symposium on VLSI Technology Digest of Technical Papers, pp. 192-193, June 2009.
[21] T. Maeda, K. Itagaki, T. Hishida, R. Katsumata, M. Kito, Y. Fukuzumi, M. Kido, H. Tanaka, Y. Komori, M. Ishiduki, J. Matsunami, T. Fujiwara, H. Aochi, Y. Iwata, Y. Watanabe, “Multi-stacked 1G cell/layer Pipe-shaped BiCS flash memory,” IEEE Symposium on VLSI Circuits Digest of Technical Papers, pp. 16-18, June 2009.
[22] J. Kim, A. J. Hong, S. M. Kim, E. B. Song, J. H. Park, J. Han, S. Choi, D. Jang, J. T. Moon, K. L.Wang, “Novel Vertical-Stacked-Array-Transistor (VSAT) for ultra-high-density and cost-effective NAND Flash memory devices and SSD (Solid State Drive),” IEEE Symposium on VLSI Technology Digest of Technical Papers, pp. 186-187, June 2009.
[23] C. Villa, D. Vimercati, S. Schippers, E. Confalonieri, M. Sforzin, S. Polizzi, M. La Placa, C. Lisi, A. Magnavacca, E. Bolandrina, A. Martinelli, V. Dima, A. Scavuzzo, B. Calandrino, N. Del Gatto, M. Scardaci, F. Mastroianni, M. Pisasale, A. Geraci, M. Gaibotti, M. Sali., “A 125 MHz burst-mode flexible read-while-write 256 Mbit 2b/c 1.8V NOR flash memory,” IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp. 52–54, Feb. 2005.
[24] C. Deml, M. Jankowski, C. Thalmaier, “A 0.13µm 2.125MB 23.5ns Embedded Flash with 2GB/s Read Throughput for Automotive Microcontrollers,” IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp. 11-15, Feb. 2007.
[25] M. Sako, Y. Watanabe, T. Nakajima, J. Sato, K. Muraoka, M. Fujiu, F. Kouno, M. Nakagawa, M. Masuda, K. Kato, Y. Terada, Y. Shimizu, M. Honma, A. Imamoto, T. Araya, H. Konno, T. Okanaga, T. Fujimura, X. Wang, M. Muramoto, M. Kamoshida, M. Kohno, Y. Suzuki, T. Hashiguchi, T. Kobayashi, M. Yamaoka, R. Yamashita, “A low-power 64Gb MLC NAND-flash memory in 15nm CMOS technology,” IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp. 22-26, Feb. 2015.
[26] C. H. Hung, M. F. Chang, Y. S. Yang, Y. J. Kuo, T. Ne. Lai, S. J. Shen, J. Y. Hsu, S. N. Hung, H. T. Lue, Y. H. Shih, S. L. Huang, T. W. Chen, T. S. Chen, C. K. Chen, C. Y. Hung, C. Y. Lu, “Layer-Aware Program-and-Read Schemes for 3D Stackable Vertical-Gate BE-SONOS NAND Flash Against Cross-Layer Process Variations,” IEEE Journal of Solid-State Circuits, vol. pp, Issue 99, pp. 1-11, Apr. 2015.
[27] D. Kang et al., "256Gb 3b/cell V-NAND flash memory with 48 stacked WL layers," IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp. 130-131, Feb. 2016.
[28] H. Noguchi et al., "4Mb STT-MRAM-based cache with memory-access-aware power optimization and write-verify-write / read-modify-write scheme," IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp. 132-133, Feb. 2016.
[29] G. D. Sandre, L. Bettini, A. Pirola, L. Marmonier, M. Pasotti, M. Borghi, P. Mattavelli, P. Zuliani, L. Scotti, G. Mastracchio, F. Bedeschi, R. Gastaldi, R. Bez, "A 90nm 4Mb embedded phase-change memory with 1.2V 12ns read access time and 1MB/s write throughput," IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp. 268-269, Feb. 2010.
[30] D. Takashima, Y. Nagadomi and T. Ozaki, "A 100MHz Ladder FeRAM Design with Capacitance-Coupled-Bitline (CCB) Cell," IEEE Symposium on VLSI Circuits Digest of Technical Papers, pp. 227-228, June 2010.
[31] W. Otsuka, K. Miyata, M. Kitagawa, K. Tsutsui, T. Tsushima, H. Yoshihara, T. Namise, Y. Terao, K. Ogata, “A 4Mb conductive-bridge resistive memory with 2.3GB/s read-throughput and 216MB/s program-throughput,” IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp. 210-211, Feb. 2011.
[32] K. Aratani, K. Ohba, T. Mizuguchi, S. Yasuda, T. Shiimoto, T. Tsushima, T. Sone, K. Endo, A. Kouchiyama, S. Sasaki, A. Maesaka, N. Yamada, and H. Narisawa, “A Novel Resistance Memory with High Scalability and Nanosecond Switching,” IEEE International Electron Devices Meeting Digest of Technical Papers, pp. 10-12, Dec. 2007.
[33] Y. H. Tseng, C. E. Huang, C. H. Kuo, Y. D. Chih, C. J. Lin, “High density and ultra small cell size of Contact ReRAM (CR-RAM) in 90nm CMOS logic technology and circuits,” IEEE International Electron Devices Meeting Digest of Technical Papers, pp. 1-4, Dec. 2009.
[34] C. H. Ho, E. K. Lai, M. D. Lee, C. L. Pan, Y. D. Yao, K. Y. Hsieh, Rich Liu, C. Y. Lu, “A Highly Reliable Self-Aligned Graded Oxide WOx Resistance Memory: Conduction Mechanisms and Reliability,” IEEE Symposium on VLSI Technology Digest of Technical Papers, pp. 228-229, June 2007.
[35] M. J. Lee, Y. Park, B. S. Kang, S. E. Ahn, C. Lee, K. Kim, Wenxu. Xianyu, G. Stefanovich, J. H. Lee, S. J. Chung, Y. H. Kim, C. S. Lee, J. B. Park, I. G. Baek, I. K. Yoo,” 2-stack 1D-1R Cross-point Structure with Oxide Diodes as Switch Elements for High Density Resistance RAM Applications,” IEEE International Electron Devices Meeting Digest of Technical Papers, pp. 771-774, Dec. 2007.
[36] H. Y. Lee, P. S. Chen, T. Y. Wu, Y. S. Chen, C. C. Wang, P. J. Tzeng, C. H. Lin, F. Chen, C. H. Lien, M.-J. Tsai, “Low power and high speed bipolar switching with a thin reactive Ti buffer layer in robust HfO2 based RRAM,” IEEE International Electron Devices Meeting Digest of Technical Papers, pp. 1-4, Dec. 2008.
[37] B. Gao, S. Yu, N. Xu, L.F. Liu, B. Sun, X.Y. Liu, R.Q. Han, J.F. Kang, B. Yu, Y.Y. Wang, "Oxide-based RRAM switching mechanism: A new ion-transport-recombination model," IEEE International Electron Devices Meeting Digest of Technical Papers, pp. 1-4, Dec. 2008.
[38] Ching-Hua Wang, Yi-Hung Tsai, Kai-Chun Lin, Meng-Fan Chang, Ya-Chin King, and Chrong-Jung Lin, Shyh-Shyuan Sheu, Yu-Sheng Chen, Heng-Yuan Lee, Frederick T. Chen, Ming-Jinn Tsai, "Three-dimensional 4F2 ReRAM cell with CMOS logic compatible process," IEEE International Electron Devices Meeting Digest of Technical Papers, pp. 29.6.1-29.6.4, Dec. 2010.
[39] Y. S. Chen, H. Y. Lee1, P. S. Chen, P. Y. Gu1, C. W. Chen, W. P. Lin, W. H. Liu, Y. Y. Hsu, S. S. Sheu, P. C. Chiang, W. S. Chen, F. T. Chen, C. H. Lien, M.-J. Tsai, " Highly scalable hafnium oxide memory with improvements of resistive distribution and read disturb immunity," IEEE International Electron Devices Meeting Digest of Technical Papers, pp. 1-4, Dec. 2009.
[40] G. Bersuker, D. C. Gilmer, D. Veksler, J. Yum, H. Park, S. Lian, L. Vandelli, A. Padovani, L. Larcher, K. McKenna, A. Shluger, V. Iglesias, M. Porti, M. Nafría, W. Taylor, P. D. Kirsch, R. Jammy, "Metal oxide RRAM switching mechanism based on conductive filament microscopic properties," IEEE International Electron Devices Meeting Digest of Technical Papers, pp. 19.6.1-19.6.4, Dec. 2010.
[41] J. Lee, J. Shin, D. Lee, W. Lee, S. Jung, M. Jo, J. Park, K. P. Biju, S. Kim, S. Park, H. Hwang, "Diode-less nano-scale ZrOx/HfOx RRAM device with excellent switching uniformity and reliability for high-density cross-point memory applications," IEEE International Electron Devices Meeting Digest of Technical Papers, pp. 19.5.1-19.5.4, Dec. 2010.
[42] C. Cagli, D. Ielmini, F. Nardi and A. L. Lacaita, "Evidence for threshold switching in the set process of NiO-based RRAM and physical modeling for set, reset, retention and disturb prediction," IEEE International Electron Devices Meeting Digest of Technical Papers, pp. 1-4, Dec. 2008.
[43] Y. H. Tseng, W. C. Shen, C. E. Huang, C. J.Lin, Y. C. King, "Electron trapping effect on the switching behavior of contact RRAM devices through random telegraph noise analysis," IEEE International Electron Devices Meeting Digest of Technical Papers, pp. 28.5.1-28.5.4, Dec. 2010.
[44] B. Lee and H.S. Philip Wong, "NiO resistance change memory with a novel structure for 3D integration and improved confinement of conduction path," IEEE Symposium on VLSI Technology Digest of Technical Papers, pp. 28-29, June 2009.
[45] S. Song, J. H. Yi, W. S. Kim, J. S. Lee, K. Fujihara, H. K. Kang, J. T. Moon, M. Y. Lee, "CMOS device scaling beyond 100 nm," IEEE International Electron Devices Meeting Digest of Technical Papers, pp. 235-238, Dec. 2000.
[46] Jean-Pierre Colinge, Cynthia A. Colinge, “Physics of Semiconductior Devices.” Springer-Verlag, NY, pp. 175-182, 2002.
[47] E. Morifuji, A. Oishi, K. Miyashita, S. Aota, M. Nishigori, H. Ootani, T. Nakayama, K. Miyamoto, F. Matsuoka, T. Noguchi, M. Kakumu, "A 1.5 V high performance mixed signal integration with indium channel for 130 nm technology node," IEEE International Electron Devices Meeting Digest of Technical Papers, pp. 459-462, Dec. 2000.
[48] C. H. Shih, Y. M. Chen and C. Lien, "Effect of insulated shallow extension for the improved short-channel effect of sub-100 nm MOSFET," International Semiconductor Device Research Symposium, pp. 158-159, Dec. 2003.
[49] S. Seven, K. G. Anil, J. B. Pawl, R. Duffy, K. Henson, R. Lindsay, A. Lauwers, A. Veloso, J. F. de Mameffe, J. Ramos, R. A. Camilla-Castillo, P. Eyben, C. Dachs,W. Vandervost, M. Jurczak, S. Biesemans, K. De Meyer, "Diffusion-less junctions and super halo profiles for PMOS transistors formed by SPER and FUSI gate in 45 nm physical gate length devices," IEEE International Electron Devices Meeting Digest of Technical Papers, pp. 99-102, Dec. 2004.
[50] M. F. Chang, S. J. Shen, C. C. Liu, C. W. Wu, Y. F. Lin, S. C. Wu, C. E. Huang, H. C. Lai, Y. C. King, C. J. Lin, H. J. Liao, Y. D. Chih, H. Yamauchi, "An offset-tolerant current-sampling-based sense amplifier for Sub-100nA-cell-current nonvolatile memory," IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp. 206-208, Feb. 2011.
[51] M. Jefremow, T. Kern, W. Allers, C. Peters, J. Otterstedt, O. Bahlous, K. Hofmann, R. Allinger, S. Kassenetter, D. Schmitt-Landsiedel, "Time-differential sense amplifier for sub-80mV bitline voltage embedded STT-MRAM in 40nm CMOS," IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp. 216-217, Feb. 2013.
[52] C. Kim, K. Kwon, C. Park, S. Jang and J. Choi, "A covalent-bonded cross-coupled current-mode sense amplifier for STT-MRAM with 1T1MTJ common source-line structure array," IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp. 1-3, Feb, 2015,.
[53] J. Javanifard, T. Tanadi, H. Giduturi, K. Loe, R. L. Melcher, S. Khabiri, N. T. Hendrickson, A. D. Proescholdt, D. A. Ward, M. A. Taylor, "A 45nm Self-Aligned-Contact Process 1Gb NOR Flash with 5MB/s Program Speed," IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp. 424-624, Feb. 2008.
[54] M. Qazi, K. Stawiasz, L. Chang, A. Chandrakasan, "A 512kb 8T SRAM macro operating down to 0.57V with an AC-coupled sense amplifier and embedded data-retention-voltage sensor in 45nm SOI CMOS," IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp. 350-351, Feb. 2010.
[55] B. Giridhar, N. Pinckney, D. Sylvester, D. Blaauw, "A reconfigurable sense amplifier with auto-zero calibration and pre-amplification in 28nm CMOS," IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp. 242-243, Feb. 2014.
 
 
 
 
第一頁 上一頁 下一頁 最後一頁 top
* *