帳號:guest(18.222.21.175)          離開系統
字體大小: 字級放大   字級縮小   預設字形  

詳目顯示

以作者查詢圖書館館藏以作者查詢臺灣博碩士論文系統以作者查詢全國書目
作者(中文):趙梓丞
作者(外文):Chao,Tzu Cheng
論文名稱(中文):低溫成長堆疊穿隧層對多晶鍺無接面電荷捕捉式快閃記憶體元件特性影響之研究
論文名稱(外文):Stacked Tunneling Layer on Poly-Ge Junctionless Charge Trapping Flash Memory Devices Formed by Low Temperature Processes
指導教授(中文):張廖貴術
指導教授(外文):Chang-Liao,Kuei Shu
口試委員(中文):黃文賢
沈昌宏
口試委員(外文):HUANG ,WEN HSIEN
SHEN,CHANG HUNG
學位類別:碩士
校院名稱:國立清華大學
系所名稱:工程與系統科學系
學號:103011557
出版年(民國):106
畢業學年度:105
語文別:中文英文
論文頁數:92
中文關鍵詞:多晶鍺
外文關鍵詞:poly-Ge
相關次數:
  • 推薦推薦:0
  • 點閱點閱:33
  • 評分評分:*****
  • 下載下載:0
  • 收藏收藏:0
有些方法已被提出來改善快閃記憶體元件的操作特性,如高介電常數材料、奈米線通道、無接面元件、矽化鍺、多晶鍺通道等等。由於,鍺相較於矽有比較高的電子遷移率,且多晶鍺元件可以使用低溫製程(<600。C),可以降低製程熱預算。本篇論文將使用多晶鍺無接面快閃記憶體,並堆疊不同穿隧介電層來探討其元件特性。低介電係數的材料在相同操作電壓下有較大的穿隧電場,使得寫抹特性有較好的表現,例如二氧化矽(SiO2)。而三氧化二鋁(Al2O3)為高介電係數材料,在相同操作電壓下的穿隧電場較小,但是能夠阻止漏電流。本論文嘗試使用二氧化矽堆疊三氧化二鋁的穿隧氧化層,利用二氧化矽在穿隧氧化層有較大穿隧電場的特性,搭配較高介電係數材料三氧化二鋁補足不夠的物理厚度,希望能夠得到較好的元件特性以及電荷保持力。
本論文中第一個實驗是將感應耦合電漿化學氣相沉積(ICPCVD)、原子層沉積(Atomic Layer Deposition)等低溫系統應用於N型多晶鍺無接面快閃記憶體元件上,對不同材料的穿隧氧化層使用於多晶鍺無接面快閃記憶體元件來做探討。結果發現在N型通道的多晶鍺元件上,使用二氧化矽穿隧氧化層相較於使用三氧化二鋁穿隧氧化層的元件,在寫入的特性沒有明顯差異,但在抹除的部分明顯的較好。因為在給予相同的操作電壓下,使用二氧化矽穿隧氧化層的元件能夠有較大的穿隧電場,使得載子穿隧電流提升。
第二個實驗是將二氧化矽穿隧氧化層使用於P型多晶鍺通道,且新增了二氧化矽以及三氧化二鋁堆疊型的穿隧氧化層。結果發現二氧化矽以及三氧化二鋁堆疊型穿隧氧化層的元件,雖然寫入以及抹除速度較單層二氧化矽穿隧氧化層元件較差,但在可靠度上有較好的表現。除此之外,因為堆疊型的穿隧氧化層可以非常有效的抑制漏電流,使得電荷保持力有所提升。
第三個實驗是將前面兩個實驗所得結果應用於N型多晶矽無接面快閃記憶體元件上,我們發現元件表現得趨勢與前面結果類似。在使用單層的二氧化矽做為穿隧氧化層時,元件擁有相當好的寫入以及抹除速度。可以看到在堆疊型的穿隧氧化層使用上,可以非常有效的抑制漏電流,使得電荷保持力有所提升。使用相同堆疊型穿隧氧化層的多晶矽以及多晶鍺元件,在10微秒的寫入時間時,寫入速度只有2%的落後,在1毫秒的抹除時間時,抹除速度在2V記憶窗時大約有0.027秒的領先。從這個實驗中看出,多晶鍺無接面快閃記憶體元件已經有相當不錯的操作特性,並不遜色於多晶矽無接面快閃記憶體元件,因此多晶鍺元件很有潛力應用在三維高密度記憶體。
Some approaches have been reported to improve operation characteristics of flash devices such as high-k materials, nanowire channel, junctionless channel, poly-Ge and SiGe buried channel. The carrier mobility of Ge is higher than that of Si. Poly-Ge devices can be fabricated by low temperature process (<600。C), which can reduce process thermal budget. The electric field in a low dielectric constant material such as SiO2 is higher than that in a higher dielectric constant material like Al2O3 under the same operating voltage. Device with SiO2 tunneling layer has higher program/erase speed but poor retention characteristics as compared to Al2O3 tunneling layer. In this thesis, characteristics of poly-Ge flash memory device with SiO2 and Al2O3 tunneling layer are investigated and compared. With the advantages of SiO2 and Al2O3 tunneling layer, operation speed and retention characteristics can be both improved.
In the first part of this thesis, inductively coupled plasma chemical vapor deposition (ICPCVD) and atomic layer deposition (ALD) are applied on N-type polycrystalline germanium junctionless flash memory devices. Different materials are deposited as tunneling oxide on polycrystalline germanium flash memory devices. It is found that N-channel polycrystalline germanium devices with SiO2 tunneling layer have no significant difference in program characteristics as compared to those with Al2O3 tunneling layer. Since the electric field in SiO2 tunneling layer is larger and the carrier injection current is enhanced, devices with SiO2 tunneling layer have better erase characteristics at the same operating voltage.
In the second part, SiO2 tunneling layer and SiO2/Al2O3 stacked one on characteristics of flash devices with P-type polycrystalline germanium channel are compared. Program and erase speeds of devices with stacked tunneling layer are slower than those with single-layer silicon dioxide one. However, devices with SiO2/Al2O3 have better reliability performance. Devices with stacked tunneling layer can suppress leakage current effectively. Therefore, the retention characteristics are also improved.
In the third part, we can find the similar results as found before, namely, devices with single-layer of SiO2 as a tunneling layer have higher program and erase speeds. It can be seen that the leakage current can be suppressed very effectively in the stack-type tunneling layer, resulting in very good retention. Between polysilicon and poly germanium devices using the same stacked tunneling layer, program speed of poly germanium devices with SiO2/Al2O3 stacked tunneling layer is only 2% degradation at 10 μs and erase speed has 0.027 s improvement at same window measurement which keep in 2 V. It can also be seen from this experiment that poly-Ge junctionless flash memory devices already have quite good operating characteristics, not inferior to the poly-Si ones. Therefore, poly-Ge flash devices are promising for applications in 3D high-density memory.
摘要 i
Abstract iii
致謝 v
目錄 i
表目錄 iii
圖目錄 iv
第一章序論 1
1.1 快閃記憶體元件 1
1.1.1 浮動閘極式快閃記憶體元件 1
1.1.2 電荷捕捉式快閃記憶體元件 2
1.2多晶矽及多晶鍺薄膜電晶體 4
1.3多向式閘極結構與奈米線通道式快閃記憶體元件 5
1.4高介電係數材料與能帶工程 6
1.4.1 高介電系數材料 6
1.4.2 能帶工程 7
1.5無接面快閃記憶體元件介紹 8
1.6純鍺基板作為載子通道 10
1.7鍺材料雷射退火特性 11
1.8各章摘要 12
第二章快閃記憶體元件製程與操作方法 22
2.1快閃記憶體元件製程 22
2.1.1 原子層沉積系統 22
2.1.2 感應耦合型電漿化學氣相沉積系統 22
2.1.3 無接面奈米通道元件 23
2.2 快閃記憶體元件寫入與抹除方法 25
2.2.1 CHEI通道熱電子注入寫入 25
2.2.2 F-N穿隧寫入 26
2.2.3 F-N穿隧抹除 27
2.3 快閃記憶體元件可靠度特性 27
2.3.1 電荷保持力 27
2.3.2 耐久力 28
第三章利用感應耦合電漿化學氣相沉積系統沉積低溫穿隧氧化層之N型多晶鍺無接面快閃記憶體元件特性研究 38
3.1研究動機與背景 38
3.2實驗流程 39
3.3實驗結果與討論 41
3.3.1 元件汲極電流對閘極電壓特性圖 41
3.3.2 元件寫入與抹除特性 41
3.3.3 元件可靠度特性 42
3.4結論 43
第四章堆疊型穿隧氧化層之Undope-P型多晶鍺無接面快閃記憶體元件特性研究 52
4.1研究動機與背景 52
4.2實驗流程 53
4.3結果與討論 54
4.3.1 元件汲極電流對閘極電壓作圖 54
4.3.2 元件寫入與抹除特性 55
4.3.3 元件可靠度特性 56
4.4結論 56
第五章多晶鍺及多晶矽無接面快閃記憶體元件操作特性之比較研究 68
5.1 研究動機與背景 69
5.2 實驗流程 69
5.3 結果與討論 71
5.3.1 元件汲極電流對閘極電壓作圖 71
5.3.2 元件寫入與抹除特性 71
5.3.3 元件可靠度特性 73
5.4 結論 73
第六章結論 86
參考文獻 89

[1] K. San, C. Kaya, and T. Ma, “Effects of erase source bias on flash EPROM device reliability,”Electron Devices, IEEE Transactions on, vol. 42, no. 1, pp. 150 –159, Jan 1995.
[2] M. White, D. Adams, and J. Bu, “On the go with SONOS,” IEEE Circuits and Devices Magazine, vol. 16, no. 4, pp. 22 –31, Jul 2000.
[3] M. White, Y. Yang, A. Purwar, and M. French, “A low voltage SONOS nonvolatile semiconductor memory technology,” in Nonvolatile Memory Technology Conference, 1996., Sixth Biennial IEEE International, pp. 52 –57,Jun 1996.
[4] J. Bu and M. White, “Retention reliability enhanced SONOS NVSM with scaled programming voltage,” in Aerospace Conference Proceedings,2002. IEEE, vol. 5, pp. 5–2383 – 5–2390, 2002.
[5] K. Kahng and S. Sze, “A floating gate and its application to memory devices,” Electron Devices, IEEE Transactions on, vol. 14, no. 9, pp. 629, Sep 1967.
[6] A. Wang and W. D. Woo, “Static magnetic storage and delay line,” Journal of Applied Physics, vol. 21, no. 1, pp. 49 –54, Jan 1950.
[7] S. M. Sze and K. K. Ng, physics of semiconductor Devices, 3rdEd., Wiley Interscience, Hoboken, N.J. 2007.
[8] T. Y. Tseng and S. M. Sze, Eds, nonvolatile Memories Materials, Devices, and Applications, American Scientific Publishers, Stevenson Ranch, CA, 2012.
[9] N. Yamauchi, J. J. Hajjar and R. Reif, “Polysilicon thin-film transistors with channel length and width comparable to or smaller than the grain size of the thin film,”IEEE Trans. Electron Devices, vol. 38, pp. 55-60, 1991.
[10] Y. Kamimuta, K. Ikeda, K. Furuse, T. Irisawa and T. Tezuka,”Short Channel Poly-Ge Junction-less p-type FinFETs for BEOL Transistors,” VLSI Technology, Systems, and Applications (VLSI-TSA),2013 International Symposium on, pp.109,2013.
[11] Y. Kamata, Y. Kamimuta, K. Ikeda, K. Furuse, M. Ono, M. Oda, Y. Moriyama, K. Usuda, M. Koike, T. Irisawa, E. Kurosawa and T. Tezuka, “Superior cut-off characteristics of Lg=40nm Wfin=7nm poly ge junctionless tri-gate FET for stacked 3D circuits integration,” in VLSI Symp. Tech. Dig., pp. 94-95, 2013.
[12] K. Usuda, Y. Kamata, Y. Kamimuta, T. Mori, M. Koike, and T. Tezuka,” High-Performance Tri-Gate Poly-Ge Junction-Less P- and N-MOSFETs Fabricated by Flash Lamp Annealing Process,” in IEEE IEDM, pp. 16.6.1 - 16.6.4, 2014.
[13] T. H. Hsu, H. T. Lue, E. K. Lai, J. Y. Hsieh, S. Y. Wang, Y. L. Wu, Y. C. King, T. Yang, K. C. Chen, K. Y. Hsieh, R. Liu and C. Y. Lu, “A high-speed BE-SONOS NAND flash utilizing the field-enhancement effect of FinFET,” in IEDM Tech. Dig., pp. 913-916, 2007.
[14] M. Heyns, S. Beckx, H. Bender, P. Blomme, W. Boullart, B. Brijs, et al., “Scaling of high-k dielectrics towards sub-1nm EOT,” in VLSI Technology, Systems, and Applications, 2003 International Symposium on, pp. 247-250, 2003.
[15] R. Chau, S. Datta, M. Doczy, B. Doyle, J. Kavalieros and M. Metz, “High-k metal-gate stack and its MOSFET characteristics,”IEEE Electron Device Lett., vol. 25, pp. 408-410, 2004.
[16] S. C. Lai, H. T. Lue, M. J. Yang, J. Y. Hsieh, S. Y. Wang, T. Wu, G. L. Luo, C. H. Chien, E. K. Lai, K. Y. Hsieh, R. Liu and C. Lu, “MA BE-SONOS: A bandgap engineered SONOS using metal gate and Al2O3 blocking layer to overcome erase saturation,” in Non-Volatile Semiconductor Memory Workshop, pp. 88-89, 2007.
[17] T. Yan-Ny, W. K. Chim, B. Jin Cho, and C. Wee-Kiong, “Over-erase phenomenon in SONOS-type flash memory and its minimization using a hafnium oxide charge storage Layer,”Electron Devices, IEEE Transactions on, vol. 51, pp. 1143-1147, 2004.
[18] H. T. Lue, S. Y. Wang, E. K. Lai, Y. H. Shih, S. C. Lai, L. W. Yang, K. Chen, J. Ku, K. Y. Hsieh, R. Liu and C. Y. Lu, “BE-SONOS: A bandgap engineered SONOS with excellent performance and reliability,” in IEDM Tech. Dig., pp. 547-550, 2005.
[19] Z. H. Ye, K. S. Chang-Liao, T. C. Liu, T. K. Wang, P. J. Tzeng, C. H. Lin and M. J. Tsai, “A novel SONOS-type flash device with stacked charge trapping layer,”Microelectron.Eng., vol. 86, pp. 1863-1865, 2009.
[20] P.-H. Tsai, K.-S. Chang-Liao, T.-C. Liu, T.-K. Wang, P.-J. Tzeng, C.-H. Lin, L. S. Lee, and M.-J. Tsai, “Charge-trapping-type flash memory device with stacked high-k charge-trapping layer,” IEEE Electron Device Lett., vol. 30, no. 7, pp. 775–777, Jul. 2009.
[21] P. H. Tsai, K. S. Chang-Liao, C. Y. Liu, T. K. Wang, P. J. Tzeng, C. H. Lin, L. S. Lee, and M.-J. Tsai, “Novel SONOS-type nonvolatile memory device with optimal Al doping in HfAlO charge-trapping layer,” IEEE Electron Device Lett., vol. 29, no. 3, pp. 265–268, Mar. 2008.
[22] J. P. Colinge, I. Ferain, A. Kranti, C. W. Lee, N. D. Akhavan, P. Razavi, R. Yan and R. Yu, ”Junctionless nanowire transistor: complementary metal-oxide-semiconductor without junctions,”Sci. Adv.Mater.,vol. 3, pp. 477-482, 2011.
[23] J. P. Colinge, C. W. Lee, A. Afzalian, N. D. Akhavan, R. Yan, I. Ferain, P. Razavi, B. O'Neill, A. Blake, M. White, A. M. Kelleher, B. McCarthy and R. Murphy, “Nanowire transistors without junctions,”Nat.Nanotechnol.,vol. 5, pp. 225-229, 2010.
[24] C. J. Su, T. K. Su, T. I. Tsai, H. C. Lin and T. Y. Huang, “A junctionless SONOS nonvolatile memory device constructed with in situ-doped polycrystalline silicon nanowires,”Nanoscale Res. Lett., vol. 7, pp. 1-6, 2012.
[25] H. T. Lue, Y. H. Hsiao, P. Y. Du, S. C. Lai, T. H. Hsu, S. P. Hong, M. T. Wu, F. H. Hsu, N. Z. Lien, C. P. Lu, J. Y. Hsieh, L. W. Yang, T. Yang, K. C. Chen, K. Y. Hsieh, R. Liu and C. Y. Lu, “A novel buried-channel FinFET BE-SONOS NAND flash with improved memory window and cycling endurance,” in VLSI Symp. Tech. Dig., pp. 224-225, 2009.
[26] Dieter K. Schrodor, “Semiconductor Material and Device Chracterization ”, third edition, 2006.
[27] E. P. Raynes, et al., “Method for the measurement of the K22 nematic elastic constant,” App. Phys. Lett., Vol. 82, p. 13-15, 2003.
[28] S. Saito, et al., “First-principles study to obtain evidence of low interface defect density at Ge/GeO2 interfaces,” App. Phys. Lett., Vol. 95, p. 011908, 2009.
[29] C. W. Chen, J. Y. Tzeng, C. T. Chung, H. P. Chien, C. H. Chien and G. L. Luo, “High-performance germanium p- and n-MOSFETs with NiGe source/drain,”IEEE Trans. Electron Devices, vol. 61, pp. 2656-2661, Aug. 2014.
[30] Q. C. Zhang, J. D. Huang, N. Wu, G. X. Chen, M. H. Hong, L. K. Bera and C. X. Zhu, “Drive-current enhancement in Ge n-channel MOSFET using laser annealing for source/drain activation,”IEEE Electron Device Lett., vol. 27, pp. 728-730, Sep. 2006.
[31] R. Duffy and M. Shayesteh, ”Germanium doping, contacts, and thin-body structures,”Graphene, Ge/Iii-V, Nanowires, and Emerging Materials for Post-Cmos Applications 4, vol. 45, pp. 189-201, May 2012.
[32] S. M. Sze and K. K. Ng, Physics of Semiconductor Devices. Hoboken, NJ, USA: Wiley, 2007.
[33] E. Simoen, A. Satta, A. D'Amore, T. Janssens, T. Clarysse, K. Martens, B. De Jaeger, A. Benedetti, I. Hoflijk, B. Brijs, M. Meuris and W. Vandervorst, “Ion-implantation issues in the formation of shallow junctions in germanium,”Mater. Sci. Semicond. Process, vol. 9, pp. 634-639, Aug. 2006.
[34] J. D. Huang, N. Wu, Q. C. Zhang, C. X. Zhu, A. A. O. Tay, G. X. Chen and M. H. Hong, “Germanium n+/p junction formation by laser thermal process,”Appl. Phys. Lett., vol. 87, pp. 173507-1-173507-3, Oct. 2005.
[35] Liu, T.-Y.; Lo, S.-C.; Sheu, J.-T., “Gate-All-Around Single-Crystal-Like Poly-Si Nanowire TFTs With a Steep-Subthreshold Slope,”Electron Device Letters, IEEE, vol.34, no.4, pp.523,525, April 2013.
[36] S. Tam, P.-K. Ko, and C. Hu, “Lucky-electron model of channel hot- electron injection in MOSFET’s,” Electron Devices, IEEE Transactions on, vol. 31, no. 9, pp. 1116 – 1125, Sep 1984.
[37] M. H. White, Y. Yang, P. Ansha, and M. L. French, “A low voltage SONOS nonvolatile semiconductor memory technology,”Components, Packaging, and Manufacturing Technology, Part A, IEEE Transactions on, vol. 20, pp. 190-195, 1997.
[38] W. Tsai, N. Zous, C. Liu, C. Liu, C. Chen, T. Wang, S. Pan, C.-Y. Lu, and S. Gu, “Data retention behavior of a SONOS type two-bit storage flash memory cell,” in Electron Devices Meeting, 2001. IEDM ’01. TechnicalDigest. International, pp. 32.6.1 –32.6.4, 2001.
[39] Kuzum D., Pethe A.J., Krishnamohan Tejas, Oshima Yasuhiro, Sun Yun, McVittie Jim P., Pianetta Piero A., McIntyre Paul C., K.C. Saraswat, “Interface-Engineered Ge (100) and (111), N- and P-FETs with High Mobility,”Electron Devices Meeting, 2007. IEDM 2007. IEEE International, pp.723-726, 10-12 Dec. 2007.
[40] Huet K., Toque-Tresonne I., Mazzamuto F., Emeraud T., Besaucele H., “Laser Thermal Annealing: A low thermal budget solution for advanced structures and new materials,”Junction Technology (IWJT), 2014 International Workshop on, pp.1-6, 18-20 May 2014.
[41] Li-Jung Liu, Kuei-Shu Chang-Liao, Yi-Chuen Jian, Jen-Wei Cheng, and Tien-Ko Wang “Improvement on programming and erasing speeds for charge-trapping flash memory device with SiGe buried channel,”IEEE Electron Device Lett., vol. 33, no. 9 pp. 1264-1266, Sept. 2012
[42] L. M. Weltzer, and S. K. Banerjee, “Enhanced CHISEL Programming in Flash Memory Devices With SiGe Buried Layer,” Proc. Non-Volatile Memory Technol. Symp.,pp. 31-33, 2004.
[43] Xin Wang, Ouyang Q., Mudanai S., Tasch A. Jr., Banerjee S.K.,”Enhanced Secondary electron injection in novel SiGe flash memory device,”IEDM Tech Dig., pp. 105-108, 2000.
[44] T. Naito, T. Ishida, T. Onoduka1, M. Nishigoori, T. Nakayama, Y. Ueno, Y. Ishimoto, A. Suzuki, W. Chung, R. Madurawe , S. Wu , S. Ikeda, and H. Oyamatsu,“World’s first monolithic 3D-FPGA with TFT SRAM over 90nm 9 layer Cu CMOS,”in VLSI Technology (VLSIT), 2010 Symposium on, pp. 219-220, 2010.
[45] Sung Dae Suk, Ming Li, Yun Young Yeoh, Kyoung Hwan Yeo, Jae Kyu Ha, Hyunseok Lim, HyunWoo Park, Dong-Won Kim, TaeYoung Chung, Kyung Seok Oh and Won-Seong Lee, “Characteristics of sub 5nm Tri-Gate Nanowire MOSFETs with Single and Poly Si Channels in SOI Structure,” in VLSI Technology, 2009 Symposium on, pp. 142-143,2009.
 
 
 
 
第一頁 上一頁 下一頁 最後一頁 top
* *