帳號:guest(3.149.27.234)          離開系統
字體大小: 字級放大   字級縮小   預設字形  

詳目顯示

以作者查詢圖書館館藏以作者查詢臺灣博碩士論文系統以作者查詢全國書目
作者(中文):陳慶育
作者(外文):Chen, Chin Yu
論文名稱(中文):利用鍺進行預非晶化佈植改善鰭式電晶體之接觸電阻與漏電流
論文名稱(外文):Improvement of Contact Resistance and Leakage Current for FinFETs by Adopting Ge Pre-Amorphization Implantation
指導教授(中文):巫勇賢
指導教授(外文):Wu, Yung Hsien
口試委員(中文):黃智方
施君興
學位類別:碩士
校院名稱:國立清華大學
系所名稱:工程與系統科學系
學號:103011537
出版年(民國):105
畢業學年度:104
語文別:中文
論文頁數:87
中文關鍵詞:鰭式電晶體接觸電阻預非晶化離子佈植
外文關鍵詞:FinFETContact ResistancePre-Amorphization Implant
相關次數:
  • 推薦推薦:0
  • 點閱點閱:142
  • 評分評分:*****
  • 下載下載:0
  • 收藏收藏:0
本次實驗主要針對Silicide形成前的PAI (pre-Amorphous Implant)步驟進行討論,從文獻上得知,Silicide形成前的PAI步驟對於形成低阻值的C54 Ti Silicide有很大的幫助,而本次實驗主要分為兩個部分,第一部分以改變不同的PAI Profile討論元件阻值的改善,而第二部分則是以低溫環境下進行PAI製程討論元件漏電流的表現。透過使用HL-PAI Profile,可以得到大約15%整體阻值改善,而阻值改善可以歸納為下列三個因素,分別是1.) Silicidation形成中S/D Dopant Redistribution所造成的電子穿隧能障降低,2.) S/D中Si Vacancy殘留於表面對能帶的影響所導致得電子穿隧能障改變,和3.) S/D中不同Germanium含量對於低阻值的C54 Ti Silicide Formation Barrier大小的影響,而在飽和電流的改善上除了整體電阻降低的因素之外,從IV圖中也可以看到進行過HL-PAI的樣品電子遷移率有約8%的增益,綜合這兩因素,HL-PAI樣品飽和電流的改善大約12%左右。
第二部分的實驗中使用低溫PAI後的樣品在漏電流改善上非常的明顯,GIDL在I/O和core元件之間分別有3倍和1.5倍的改善,而Isoff (sub-Threshold Leakage) 和Junction Leakage於core元件中則分別有~2倍和~5倍的改善,這些漏電的改善可以歸因為S/D中EOR Defect在低溫PAI之下數量減少所致。
PAI (pre-Amorphous Implant) process before Ti Silicide formation have been discuss in this work, according to reference, PAI process which adopt before silicidation, improve low resistivity C54 Ti Silicide formation during sillicidation process. Two major topic have been discuss in this work.
First, different PAI profile have been used for resistance improvement, HL-PAI which have the promising performance, shows ~15% total resistance (RT) improvement in N-FinFET. It can be attribute to three different origin, leading to contact resistance (RC) reduction, which is the major component in RT. (1.) S/D dopant redistribution during silicidation, (2.) surface Si vacancy accumulation, resulting in electron tunneling barrier difference, and (3.) S/D Ge content caused C54 TiSi formation barrier difference. ~12% Saturation current improvement in N-FinFET HL-PAI sample can not only be attribute to following RT reduction, but electron mobility enhancement (~8%) observed in HL-PAI sample.
Second, cryogenic PAI sample shows significant leakage improvement against room temperature PAI sample, both 3 times and 1.5 time GIDL improvement can be seen for N-FinFET I/O and core device adopting cryo-PAI, also for N-FinFET core device ~2 times sub-threshold leakage (Isoff) and ~5 times junction leakage improvement can also been seen in cryo-PAI sample. These leakage improvement observed above might be attribute to EOR defect reduction by using cryo-PAI.
摘要 i
致謝 iii
目錄 iv
圖目錄 vi
表目錄 xi

第一章 序論 1
1-1背景介紹 1
1-2 Titanium Silicide Formation 2
1-3 Titanium Germanosilicide Formation with Different Ge Content 4
1-4 Introduction to Amorphous Layer Formation via Ion Implant 5
1-5 研究動機 8
1-6 論文結構 9
第二章 文獻回顧 21
2-1 1.5x10-9 Ω•cm2 Contact Resistivity on Highly Doped Si:P Using Ge Pre-amorphization and Ti Silicidation 21
2-2 Ultra Low p-Type SiGe Contact Resistance FinFETs with Ti Silicide Liner using Cryogenic Contact Implantation Amorphization and Solid-Phase Epitaxial Regrowth (SPER) 22
第三章 實驗流程 31
3-1 元件製程 31
[第一部分] 不同濃度的鍺濃度分布對於接面電阻之影響 32
[第二部分] 低溫離子佈植對元件特性之影響 33
3-2 元件量測 33
第四章 結果與討論 41
[第一部分] 不同濃度的鍺濃度分布對於接面電阻之影響 41
4-1 阻值改善和相關電性表現 41
4-2 N/P-FinFET元件特性表現 44
[第二部分] 低溫離子佈植對接面電阻之影響 60
4-3 阻值改善和相關電性表現 60
4-4低溫離子佈值對FinFET元件漏電流改善 62
第五章 結論與未來展望 70
參考文獻 71
[1] J. Bardeen and W. H. Brattain, “The Transistor, a Semiconductor Triode,” Phys. Rev., vol.71, pp. 230, 1984
[2] J. S. Kilby, “Invention of the Integrated Circuit,” IEEE Trans, Electron Devices, ED-23,648, 1976, U.S. Patent 3, 138, 743 (filed 1959, granted 1964)
[3] D. L. Critchlow, “Mosfet Scaling-the Driver of VLSI Technology,” Proc. IEEE, vol. 87, no. 4, pp. 659–667, 1999
[4] K. K. Ng and W. T. Lynch, “The Impact of Intrinsic Series Resistance on MOSFET Scaling,” IEEE Trans. Electron Devices, vol. 34, no. 3, pp. 503–511, 1987
[5] M. Norishima, H. Yoshinari, H. Hayashida, T. Eguchi, K. Kasai, H. Shinagawa, T. Matsunaga, T. Matsuno, H. Shibata, Y. Toyoshima, and K. Hashimoto, “High-Performance 0.5 μm CMOS Technology for Logic LSIs with Embedded Large Capacity SRAMs,” International Electron Devices Meeting 1991 Technical Digest, pp. 489–492, 1991
[6] K. Maex, “Silicides for Integrated Circuits: TiSi2 CoSi2,” Mater. Sci. Eng. R Reports, vol. 11, no. 2–3, pp. 53–153, 1993
[7] J. B. Lasky, J. S. Nakos, O. J. Cain, and P. J. Geiss, “Comparison of Transformation to Low-Resistivity Phase and Agglomeration of TiSi2 and CoSi2,” IEEE Trans. Electron Devices, vol. 38, no. 2, pp. 262–269, 1991
[8] R. A. Roy, L. A. Clevenger, C. Cabral, K. L. Saenger, S. Brauer, J. Jordan-Sweet, J. Bucchignano, G. B. Stephenson, G. Morales, and K. F. Ludwig, “In situ x-ray Diffraction Analysis of the C49–C54 Titanium Silicide Phase Transformation in Narrow Lines,” Appl. Phys. Lett., vol. 66, no. 14, p. 1732, 1995
[9] J. A. Kittl, D. A. Prinslow, P. P. Apte, and M. F. Pas, “Kinetics and Nucleation Model of the C49 to C54 Phase Transformation in TiSi2 Thin Films on Deep-Sub-micron n+ Type Polycrystalline Silicon Lines,” Appl. Phys. Lett., vol. 67, no. 16, p. 2308, 1995
[10] J. A. Kittl, D. A. Prinslow, P. P. Apte, and M. F. Pas, “Kinetics of the C49 to C54 Phase Transformation in TiSi2 Thin Films on Deep-Sub-Micron Lines,” MRS Proc., vol. 402, no. 3, p. 269, 1995
[11] J. A. Kittl and Q. Z. Hong, “Self-Aligned Ti and Co Silicides for High Performance Sub-0.18 μm CMOS Technologies,” Thin Solid Films, vol. 320, no. 1, pp. 110–121, 1998
[12] Ping Liu, T. C. Hsiao, and J. C. S. Woo, “A Low Thermal Budget Self-Aligned Ti Silicide Technology using Germanium Implantation for Thin-Film SOI MOSFET’s,” IEEE Trans. Electron Devices, vol. 45, no. 6, pp. 1280–1286, 1998
[13] R. W. Mann, G. L. Miles, T. A Knotts, D. W. Rakowski, L. A. Clevenger, J. M. E. Harper, F. M. D’Heurle, and C. Cabral, “Reduction of the C54–TiSi2 Phase Transformation Temperature using Refractory Metal Ion Implantation,” Appl. Phys. Lett., vol. 67, no. 25, p. 3729, 1995
[14] P. Murarka, Metallization: Theory and Practice for VLSI and ULSI, Butterworth-Heinemann, Boston, 1993
[15] O. Thomas, S. Delage, F. M. D’Heurle, and G. Scilla, “Reaction of Titanium with Germanium and Silicon-Germanium Alloys,” Appl. Phys. Lett., vol. 54, no. 3, p. 228, 1989
[16] B. Aldrich, C. L. Jahncke, R. J. Nemanich, and D. E. Sayers, edited by R. F. C. Farrow, J.P. Harbison, P. S. Peercy, and A. Zangwill, Heteroepitaxy of Dissimilar Materials, Mater. Res. Soc. Symp. Proc. 221, Pittsburgh, 1991, pp. 343-348
[17] X. Ren, M. C. Oztiirk, D.T. Grider, M. Sanganeria, and S. Ashburn, edited by J. C. Gelpey, J. K. Elliott, J. J. Wortman, and A. Ajmera, Rapid Thermal and Integrated Processing II, Mater. Res. Soc. Symp. Proc. 303, Pittsburgh, 1993, pp. 37-41
[18] D.T. Grider, M.C. Ozturk, J.J. Wortman, G. S. Harris, and D. M. Maher, edited by J. C. Gelpey, J. K. Elliott, J. J. Wortman, and A. Ajmera, Rapid Thermal and Integrated Processing II, Mater. Res. Soc. Symp. Proc. 303, Pittsburgh, 1993, pp. 31-36
[19] S. P. Ashburn, M. C. Öztürk, G. Harris, and D. M. Maher, “Phase Transitions during Solid-State Formation of Cobalt Germanide by Rapid Thermal Annealing,” J. Appl. Phys., vol. 74, no. 7, p. 4455, 1993
[20] D. B. Aldrich, Y. L. Chen, D. E. Sayers, R. J. Nemanich, S. P. Ashburn, and M. C. Öztürk, “Stability of C54 Titanium Germanosilicide on a Silicon-Germanium Alloy Substrate,” J. Appl. Phys., vol. 77, no. 10, p. 5107, 1995
[21] D. B. Aldrich, Y. L. Chen, D. E. Sayers, R. J. Nemanich, S. P. Ashburn, and M. C. Öztürk, “Effect of Composition on Phase Formation and Morphology in Ti–Si1− xGex Solid Phase Reactions,” J. Mater. Res., vol. 10, no. 11, pp. 2849–2863, 1995
[22] H. Itokawa, N. C. Berliner, S. Teehan, D. R. Wall, J. A. Wahl, Eunha Kim, J. Li, J. J. Demarest, P. Ronsheim, and V. Paruchuri, “Modifications of Growth of Strained Silicon and Dopant Activation in Silicon by Cryogenic Ion Implantation and Recrystallization Annealing,” 12th International Workshop on Junction Technology, vol. 9, no. 7, pp. 210–215, 2012
[23] I.-W. Wu, A. Chiang, M. Fuse, L. Öveçoglu, and T. Y. Huang, “Retardation of Nucleation Rate for Grain Size Enhancement by Deep Silicon Ion Implantation of Low-Pressure Chemical Vapor Deposited Amorphous Silicon Films,” J. Appl. Phys., vol. 65, no. 10, p. 4036, 1989
[24] D. K. Sadana, E. Myers, J. Liu, T. Finstad, and G. A. Rozgonyi, “Germanium Implantation into Silicon: An Alternate Pre-Amorphization/Rapid Thermal Annealing Procedure for Shallow Junction Technology,” MRS Proc., vol. 23, no. 6, p. 303, 1983
[25] J. W. Corbett, Solid State Physics, vol. 7, Academic, New York, 1966
[26] L. A. Miller, D. K. Brice, A. K. Prinja, and S. T. Picraux, “Molecular Dynamics Simulations of Bulk Displacement Threshold Energies in Si,” Radiat. Eff. Defects Solids, vol. 129, no. 1–2, pp. 127–131, 1994
[27] M.-J. Caturla, T. D. de la Rubia, and G. H. Gilmer, edited by R. J. Culburison, O. W. Holland, K. S. Jones, and K. Maiz, Materials Synthesis and Processing using Ion Beams, Material Research Society, Pittsburgh, 1994, p. 141
[28] J. A. Brinkman, “On the Nature of Radiation Damage in Metals,” J. Appl. Phys., vol. 25, no. 8, p. 961, 1954
[29] C. L. Yang, C. I. Li, G. P. Lin, I. M. Lai, R. Liu, H. Y. Wang, B. C. Hsu, M. Chan, J. Y. Wu, B. N. Guo, B. Colombeau, T. Wu, and S. Lu, “Alleviating eSiGe Strain Relaxation using Cryo-Implantation,” Electrochem. Solid-State Lett., vol. 14, no. 11, p. H467, 2011
[30] F. Adeni Khaja, B. Colombeau, T. Thanigaivelan, D. Ramappa, and T. Henry, “Physical Understanding of Cryogenic Implant Benefits for Electrical Junction Stability,” Appl. Phys. Lett., vol. 100, no. 11, p. 112102, 2012
[31] L. Pelaz, L. A. Marqués, and J. Barbolla, “Ion-Beam-Induced Amorphization and Recrystallization in Silicon,” J. Appl. Phys., vol. 96, no. 11, p. 5947, 2004
[32] B. Colombeau, B. Guo, H.-J. Gossmann, F. Khaja, N. Pradhan, A. Waite, K. V. Rao, C. Thomidis, K.-H. Shim, T. Henry, and N. Variam, “Advanced CMOS Devices: Challenges and Implant Solutions,” Phys. Status Solidi, vol. 211, no. 1, pp. 101–108, 2014
[33] H. Yu, M. Schaekers, E. Rosseel, A. Peter, J.-G. Lee, W.-B. Song, S. Demuynck, T. Chiarella, J.-A. Ragnarsson, S. Kubicek, J. Everaert, N. Horiguchi, K. Barla, D. Kim, N. Collaert, A. V.-Y. Thean, and K. De Meyer, “1.5×10−9 Ωcm2 Contact Resistivity on Highly Doped Si:P using Ge pre-Amorphization and Ti Silicidation,” IEEE International Electron Devices Meeting, pp. 21.7.1–21.7.4, 2015
[34] D. S. Wen, P. L. Smith, C. M. Osburn, and G. A. Rozgonyi, “Defect Annihilation in Shallow p+ Junctions using Titanium Silicide,” Appl. Phys. Lett., vol. 51, no. 15, p. 1182, 1987
[35] Qiuxia Xu and Chenning Hu, “New Ti-SALICIDE Process Using Sb and Ge Preamorphization for Sub-0.2 μm CMOS Technology,” IEEE Trans. Electron Devices, vol. 45, no. 9, pp. 2002–2009, 1998
[36] Y. R. Yang, N. Breil, C. Y. Yang, J. Hsieh, F. Chiang, B. Colombeau, B. N. Guo, K. H. Shim, N. Variam, G. Leung, and J. Hebb, “Ultra Low p-Type SiGe Contact Resistance FinFETs with Ti Silicide Liner using Cryogenic Contact Implantation Amorphization and Solid-Phase Epitaxial Regrowth (SPER),” Digest of Technical Papers. Symposium on VLSI Technology, pp. 74–75, 2016
[37] A. Kinoshita, Y. Tsuchiya, A. Yagishita, K. Uchida, and J. Koga, “Solution for High-Performance Schottky-Source/Drain MOSFETs: Schottky Barrier Height Engineering with Dopant Segregation Technique,” Digest of Technical Papers. Symposium on VLSI Technology, pp. 168–169, 2004
[38] J. Kedzierski, D. Boyd, P. Ronsheim, S. Zafar, J. Newbury, J. Ott, C. Cabral, M. Ieong, and W. Haensch, “Threshold Voltage Control in NiSi-Gated MOSFETs Through Silicidation Induced Impurity Segregation (SIIS),” IEEE International Electron Devices Meeting, pp. 13.3.1–13.3.4, 2003
[39] Q. T. Zhao, U. Breuer, E. Rije, S. Lenk, and S. Mantl, “Tuning of NiSi/Si Schottky Barrier Heights by Sulfur Segregation during Ni Silicidation,” Appl. Phys. Lett., vol. 86, no. 6, p. 062108, 2005
[40] J. A. Van Vechten and C. D. Thurmond, “Comparison of Theory with Quenching Experiments for the Entropy and Enthalpy of Vacancy Formation in Si and Ge,” Phys. Rev. B, vol. 14, no. 8, pp. 3551–3557, 1976
[41] A. Steegen and K. Maex, “Silicide-Induced Stress in Si: Origin and Consequences for MOS Technologies,” Mater. Sci. Eng. R Reports, vol. 38, no. 1, pp. 1–53, 2002
[42] C.-H. Ge, C.-C. Lin, C.-H. Ko, C.-C. Huang, Y.-C. Huang, B.-W. Chan, B.-C. Perng, C.-C. Sheu, P.-Y. Tsai, L.-G. Yao, C.-L. Wu, T.-L. Lee, C.-J. Chen, C.-T. Wang, S.-C. Lin, Y.-C. Yeo, and C. Hu, “Process-Strained Si (PSS) CMOS Technology Featuring 3D Strain Engineering,” IEEE International Electron Devices Meeting, pp. 3.7.1–3.7.4, 2003
[43] M. Mandal and B. Sarkar, “Ring Oscillators : Characteristics and Applications,” Indian J. Pure Appl. Phys., vol. 48, pp. 136–145, 2010
[44] T. Morimoto, T. Ohguro, S. Momose, T. Iinuma, I. Kunishima, K. Suguro, I. Katakabe, H. Nakajima, M. Tsuchiaki, M. Ono, Y. Katsumata, and H. Iwai, “Self-Aligned Nickel-Mono-Silicide Technology for High-Speed Deep Submicrometer Logic CMOS ULSI,” IEEE Trans. Electron Devices, vol. 42, no. 5, pp. 915–922, 1995
[45] T. Miyashita, K. C. Kwong, P. H. Wu, B. C. Hsu, P. N. Chen, C. H. Tsai, M. C. Chiang, C. Y. Lin, and S. Y. Wu, “High Voltage I/O FinFET Device Optimization for 16nm System-on-a-Chip (SoC) Technology,” Digest of Technical Papers. Symposium on VLSI Technology, pp. T152–T153, 2015
[46] J.-Y. Tsai, T.-C. Chang, W.-H. Lo, C.-E. Chen, S.-H. Ho, H.-M. Chen, Y.-H. Tai, O. Cheng, and C.-T. Huang, “Hole Injection-Reduced Hot Carrier Degradation in N-Channel Metal-Oxide-Semiconductor Field-Effect-Transistors with High-k Gate Dielectric,” Appl. Phys. Lett., vol. 102, no. 7, p. 073507, 2013
[47] H.-J. L. Gossmann and Y. Erokhin, “Advanced Ion Implantation Applications for Leading Edge Transistor Design,” IEEE 11th International Conference on Solid-State and Integrated Circuit Technology, pp. 1–4, 2012
[48] M. Mouis, J. W. Lee, D. Jeon, M. Shi, M. Shin, and G. Ghibaudo, “Source/Drain Induced Defects in Advanced MOSFETs: What Device Electrical Characterization Tells,” Phys. Status Solidi, vol. 11, no. 1, pp. 138–145, 2014
[49] T. Hori, “Drain-Structure Design for Reduced Band-to-Band and Band-to-Defect Tunneling Leakage,” Digest of Technical Papers Symposium on VLSI Technology, pp. 69–70, 1990
(此全文未開放授權)
電子全文
摘要
 
 
 
 
第一頁 上一頁 下一頁 最後一頁 top
* *