帳號:guest(3.15.1.129)          離開系統
字體大小: 字級放大   字級縮小   預設字形  

詳目顯示

以作者查詢圖書館館藏以作者查詢臺灣博碩士論文系統以作者查詢全國書目
作者(中文):張彥彬
作者(外文):Chang,Yan-Bin
論文名稱(中文):應用於2.5D中介層整合架構系統之時序特性萃取方法
論文名稱(外文):Timing Characteristic Extraction Methodology for 2.5D Interposer Integrate System
指導教授(中文):張孟凡
指導教授(外文):Chang,Meng-Fan
口試委員(中文):呂仁碩
洪浩喬
口試委員(外文):Liu,Ren-Shuo
Hong,Hao-Chiao
學位類別:碩士
校院名稱:國立清華大學
系所名稱:電子工程研究所
學號:102063701
出版年(民國):105
畢業學年度:105
語文別:中文
論文頁數:59
中文關鍵詞:中介層時序特性
外文關鍵詞:2.5D ICTiming Characteristic
相關次數:
  • 推薦推薦:0
  • 點閱點閱:286
  • 評分評分:*****
  • 下載下載:0
  • 收藏收藏:0
隨著可攜式電子產品的發展朝向省電、多功能整合發展,加上電晶體尺寸隨著摩爾定律不斷微縮,晶圓的生產成本也不斷提高而且製程微縮到奈米維度時遇到許多瓶頸,所以利用矽穿孔進行三維晶片堆疊整合的三維積體電路成了時下最熱門的研究題目。不過三維積體電路的技術尚未成熟,良率低與高成本是三維積體電路無法量產之原因。因此,較低成本且易實作的2.5D中介層整合的架構被提出,2.5D中介層整合系統利用中介層上面的重分佈層提供通道給晶片與晶片之間互相溝通。但是當晶片整合至中介層上後沒有辦法進行測試整體的效能與晶片與晶片之間的連線是否有開路或短路,更無法進一步得出系統的效能極限為何。
我們提出一應用於2.5D中介層整合系統的時序特性萃取方法,此方法利用晶片內部的發送器與接收器再加上用來協助分辨資料的處理功能塊,並利用量測手法來完成各個區塊的時序特性萃取。
With the development of mobile device towards low-power design and multi-function design. Also, transistor size is shrinking continuously based on Moore’s Law, the cost and difficulties of chip fabrication are getting higher and higher. Duo to these demands and problems, 3D Integration Chip (3D IC) by using TSV (Through Silicon Via) technology become the most popular research topic. However, the technology of 3D IC hasn’t fully developed yet. Lower yield and high cost are the bottleneck of mass production. Therefore, 2.5D Interposer Integrate System IC is proposed which has advantages like low cost and fabrication easily. Chips on 2.5D Interposer Integrate System communicate with each other by redistribution layer. But when chip once mounted on interposer, we can’t test whole system performance and whether the redistribution layer is open or short.
We proposed a timing characteristic extraction methodology for 2.5D interposer integrate system. Using the transceiver and receiver circuit inside chip and data processing circuit to extract the timing characteristic of each block.
摘要 iv
Abstract v
致謝 vi
List of Figure ix
第一章 簡介 1
1.1 動機與研究背景 1
1.2 三維積體電路的分類與應用 4
1.3 論文概述 11
第二章 中介層(Interposer)的介紹 12
2.1 中介層結構 12
2.2 玻璃基板的崛起 14
2.3 嵌入式中介層載板(Embedded Interposer Carrier, EIC) 16
第三章 雙倍資料率同步動態隨機存取記憶體(Double Data Rate Synchronous Dynamic Random Access Memory) 19
3.1 動態隨機存取記憶體簡介 19
3.2動態隨機存取記憶體訊號 24
3.2.1 時脈 24
3.2.2 位址 24
3.2.3指令 24
3.2.4資料 25
3.3 動態隨機存取記憶體操作 25
3.4動態隨機存取記憶體傳輸介面規範 28
第四章 時間測定參數萃取方法論 31
4.1 時間電壓轉換量測法 31
4.2 互斥或閘(XOR)量測法 32
4.3 游標延遲線量測法 33
4.4 時序特性量測法應用於2.5D IC之挑戰 35
第五章 提出的架構與操作方式 36
5.1雙倍資料率傳輸介面 36
5.2發送器架構 (Transceiver, Tx) 37
5.3 接收器架構 (Receiver, Rx) 40
5.4提出的時序特性萃取方法 42
第六章 晶片實現 48
6.1 測試晶片設計 48
6.2 測試晶片佈局版圖規劃 49
6.3 晶片實作與佈局 49
第七章 量測結果 51
7.1 發送器時序特性萃取量測 51
7.2接收器時序特性萃取量測 52
7.3 PADIN到PADOUT時序特性萃取量測 53
7.4 發送器Macro與接收器Macro時序特性萃取 53
7.4 重分佈層時間特性萃取量測 54
第八章 結論與未來的研究 55
參考文獻 56
[1] Neil Weste and David Harris, CMOS VLSI Design: A Circuits and Systems Perspective. Pearson Education,2005.
[2] J. Singh et al., "Analog, RF, and ESD device challenges and solutions for 14nm FinFET technology and beyond," VLSI Technology (VLSI-Technology): Digest of Technical Papers, 2014 Symposium on, Honolulu, HI, 2014, pp. 1-2.
[3] A. Veloso et al., "Highly scalable effective work function engineering approach for multi-VT modulation of planar and FinFET-based RMG high-k last devices for (Sub-)22nm nodes," VLSI Technology (VLSIT), 2013 Symposium on, Kyoto, 2013, pp. T194-T195.
[4] International Technology Road Map for Semiconductors, Executive Summary, 2012.
[5] X-FAB Semiconductor Foundries, What is “More than Moore”?. Retrieved June 14, 2011, http://www.more-than-moore.com/more-than-moore/what-is-more-than-moore.html
[6] A. Kagi, J. R. Goodman and D. Burger, "Memory Bandwidth Limitations of Future Microprocessors," Computer Architecture, 1996 23rd Annual International Symposium on, 1996, pp. 78-78.
[7] J. Stuecheli, L. Kurian John. “Cache Capacity and Memory Bandwidth Scaling Limits of Highly Threaded Processors,” IEEE International Symposium on Performance Analysis of Systems and Software, 2009.
[8] J.-Q. Lu, K. Rose, and S. Vitkavage, “3D Integration: Why, What, Who, When?”Future Fab International (http://www.future-fab.com/), pp. 25-27, July 2007.
[9] H. Vuong, “Mobile Memory Technology Roadmap,” 2013, http://www.jedec.org/sites/default/files/files/H_Vuong_Mobile_Forum_May_2013.pdf
[10] J. S. Kim et al., "A 1.2 V 12.8 GB/s 2 Gb Mobile Wide-I/O DRAM With 4 times 128 I/Os Using TSV Based Stacking," in IEEE Journal of Solid-State Circuits, vol. 47, no. 1, pp. 107-116, Jan. 2012.
[11] Wide I/O 2 (WideIO2), JESD229-2, JEDEC Solid State Technology Association, Aug 2014.



[12] D. U. Lee et al., "25.2 A 1.2V 8Gb 8-channel 128GB/s high-bandwidth memory (HBM) stacked DRAM with effective microbump I/O test methods using 29nm process and TSV," 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC), San Francisco, CA, 2014, pp. 432-433.
[13] High Bandwidth Memory (HBM) DRAM, JESD235-A, JEDEC Solid State Technology Association, Nov 2015 .
[14] J. Jeddeloh and B. Keeth, "Hybrid memory cube new DRAM architecture increases density and performance," VLSI Technology (VLSIT), 2012 Symposium on, Honolulu, HI, 2012, pp. 87-88.
[15] HMC Specification 2.1, Hybrid Memory Cube Consortium, Nov 2014.
[16] M. Swaminathan, "Electrical Design and Modeling Challenges for 3D System Integration," presented at the DesignCon2012, 2012.
[17] U. Kang et al., "8 Gb 3-D DDR3 DRAM Using Through-Silicon-Via Technology," in IEEE Journal of Solid-State Circuits, vol. 45, no. 1, pp. 111-119, Jan. 2010.
[18] L. Jiangs, Q. Xu, K. Chakrabarty and T. M. Mak, "Layout-driven test-architecture design and optimization for 3D SoCs under pre-bond test-pin-count constraint," 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers, San Jose, CA, 2009, pp. 191-196.
[19] W. C. Lo et al., "3D Chip-to-Chip Stacking with Through Silicon Interconnects," 2007 International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA), Hsinchu, 2007, pp. 1-2.
[20] G. H. Loh, Y. Xie and B. Black, "Processor Design in 3D Die-Stacking Technologies," in IEEE Micro, vol. 27, no. 3, pp. 31-48, May-June 2007.
[21] M. Koyanagi, T. Fukushima and T. Tanaka, "New three-dimensional integration technology to achieve a super chip," 2006 8th International Conference on Solid-State and Integrated Circuit Technology Proceedings, Shanghai, 2006, pp. 318-321.
[22] Y. Xie, et al., "Design Space Exploration for 3D Architectures, " ACM Journal on Emerging Technologies in Computing Systems (JETC), vol. 2 Issue 2, pp. 65-103, Apr. 2006.
[23] H. H. S. Lee and K. Chakrabarty, "Test Challenges for 3D Integrated Circuits," in IEEE Design & Test of Computers, vol. 26, no. 5, pp. 26-35, Sept.-Oct. 2009.


[24] E. Beyne, “Requirements, challenges and current status of thin wafer carrier systems for 3D TSV thinning and backside processing,” Semicon West Conference: 3D IC Manufacturing-From Concept to Commercialization, San Francisco, CA, USA, 13 July 2011.
[25] P. Leduc et al., "Challenges for 3D IC integration: bonding quality and thermal management," 2007 IEEE International Interconnect Technology Conferencee, Burlingame, CA, 2007, pp. 210-212.
[26] X. Zhang et al., "Development of through silicon via (TSV) interposer technology for large die (21×21mm) fine-pitch Cu/low-k FCBGA package," 2009 59th Electronic Components and Technology Conference, San Diego, CA, 2009, pp. 305-312.
[27] G. Hariharan et al., "Assembly process qualification and reliability evaluations for heterogeneous 2.5D FPGA with HiCTE Ceramic," 2013 IEEE 63rd Electronic Components and Technology Conference, Las Vegas, NV, 2013, pp. 904-908.
[28] B. Banijamali, S. Ramalingam, K. Nagarajan and R. Chaware, "Advanced reliability study of TSV interposers and interconnects for the 28nm technology FPGA," 2011 IEEE 61st Electronic Components and Technology Conference (ECTC), Lake Buena Vista, FL, 2011, pp. 285-290.
[29] C. C. Wang, H. H. Cheng, M. F. Chung, P. C. Pan, C. T. Chiu and C. P. Hung, "High bandwidth application with Wide I/O memory on 2.5D-IC silicon interposer," 2013 3rd IEEE CPMT Symposium Japan, Kyoto, 2013, pp. 1-4.
[30] J. Roullard et al., "Evaluation of 3D interconnect routing and stacking strategy to optimize high speed signal transmission for memory on logic," 2012 IEEE 62nd Electronic Components and Technology Conference, San Diego, CA, 2012, pp. 8-13.
[31] D. C. Hu, Y. P. Hung, Y. H. Chen, R. M. Tain and W. C. Lo, "Embed glass interposer to substrate for high density interconnection," 2014 IEEE 64th Electronic Components and Technology Conference (ECTC), Orlando, FL, 2014, pp. 360-364.
[32] V. Sukumaran et al., "Design, fabrication and characterization of low-cost glass interposers with fine-pitch through-package-vias," 2011 IEEE 61st Electronic Components and Technology Conference (ECTC), Lake Buena Vista, FL, 2011, pp. 583-588.
[33] J. Keech, S. Chaparala, A. Shorey, G. Piech and S. Pollard, "Fabrication of 3D-IC interposers," 2013 IEEE 63rd Electronic Components and Technology Conference, Las Vegas, NV, 2013, pp. 1829-1833.

[34] V. Sukumaran et al., "Through-package-via formation and metallization of glass interposers," 2010 Proceedings 60th Electronic Components and Technology Conference (ECTC), Las Vegas, NV, USA, 2010, pp. 557-563.
[35] D. C. Hu, T. J. Tseng, Y. H. Chen and W. C. Lo, "An innovative embedded interposer carrier for high density interconnection," 2013 IEEE 63rd Electronic Components and Technology Conference, Las Vegas, NV, 2013, pp. 1332-1335.
[36] DDR2 SDRAM SPECIFICATION, JESD79-2F, JEDEC Solid State Technology Association, Nov 2009.
[37] Changsik Yoo et al., "A 1.8-V 700-mb/s/pin 512-mb DDR-II SDRAM with on-die termination and off-chip driver calibration," in IEEE Journal of Solid-State Circuits, vol. 39, no. 6, pp. 941-951, June 2004.
[38] DDR3 SDRAM Standard, JESD79-3F, JEDEC Solid State Technology Association, Jul 2010.
[39] DDR4 SDRAM, JESD79-4A, JEDEC Solid State Technology Association, Sept 2012.
[40] POD12‐1.2V PSEUDO OPEN DRAIN INTERFACE, JESD8-24, JEDEC Solid State Technology Association, Aug 2011.
[41] E. Raisanen-Ruotsalainen, T. Rahkonen and J. Kostamovaara, "A time digitizer with interpolation based on time-to-voltage conversion," Circuits and Systems, 1997. Proceedings of the 40th Midwest Symposium on, Sacramento, CA, 1997, pp. 197-200 vol.1.
[42] Chauchin Su, Yue-Tsang Chen, Mu-Jeng Huang, Gen-Nan Chen and Chung-Len Lee, "All digital built-in delay and crosstalk measurement for on-chip buses," Design, Automation and Test in Europe Conference and Exhibition 2000. Proceedings, Paris, 2000, pp. 527-531.
[43] J. Christiansen, "An integrated CMOS 0.15 ns digital timing generator for TDC's and clock distribution systems," in IEEE Transactions on Nuclear Science, vol. 42, no. 4, pp. 753-757, Aug 1995.
[44] P. Dudek, S. Szczepanski and J. V. Hatfield, "A high-resolution CMOS time-to-digital converter utilizing a Vernier delay line," in IEEE Journal of Solid-State Circuits, vol. 35, no. 2, pp. 240-247, Feb. 2000.
[45] K. Sohn et al., "A 1.2 V 30 nm 3.2 Gb/s/pin 4 Gb DDR4 SDRAM With Dual-Error Detection and PVT-Tolerant Data-Fetch Scheme," in IEEE Journal of Solid-State Circuits, vol. 48, no. 1, pp. 168-177, Jan. 2013.
(此全文限內部瀏覽)
電子全文
摘要
 
 
 
 
第一頁 上一頁 下一頁 最後一頁 top
* *