帳號:guest(3.147.68.125)          離開系統
字體大小: 字級放大   字級縮小   預設字形  

詳目顯示

以作者查詢圖書館館藏以作者查詢臺灣博碩士論文系統以作者查詢全國書目
作者(中文):李岳陞
作者(外文):Lee, Albert
論文名稱(中文):應用於常態關機之高密度、低耗能快速恢復之非揮發性靜態隨機存取式記憶體
論文名稱(外文):A Low Energy, Area-Efficient, Fast Wakeup Nonvolatile SRAM for Frequent-Off Instant-On Applications
指導教授(中文):張孟凡
指導教授(外文):Chang, Meng Fan
口試委員(中文):洪浩喬
邱瀝毅
口試委員(外文):Hong, Hao-Chiao
Chiou, Lih-Yih
學位類別:碩士
校院名稱:國立清華大學
系所名稱:電機工程學系
學號:102061600
出版年(民國):104
畢業學年度:103
語文別:英文
論文頁數:49
中文關鍵詞:常態關機低耗能非揮發性靜態隨機存取式記憶體
外文關鍵詞:Normally-Off Instant OnLow EnergyNonvolatile SRAM
相關次數:
  • 推薦推薦:0
  • 點閱點閱:288
  • 評分評分:*****
  • 下載下載:11
  • 收藏收藏:0
在有限電能裝置的晶片中 (如手機、可攜式產品、物聯網),通常需要動態調整電源,甚至進行部分晶片的關機程序以降低待機耗能。現存大多方法使用靜態隨機存取記憶體(SRAM) 用於快速計算,並另外使用非揮發性記憶體(NVM)為斷電資料存儲。然而,這種採用兩個晶片組的方式(SRAM + NVM 2-macro solution)受到晶片的傳輸介面限制,造成資料備份時間過長和消耗大量的傳輸能量。非揮發性靜態隨機存取式記憶體(nvSRAM)以位元對位元的平行方式傳輸資料,使得資料備份及資料復元不需經過傳輸介面,達到儲存時間更短、更省能,對可攜式裝置而言是個更好的選擇。
這項研究提出了使用七顆電晶體和一個憶阻器組成的非揮發性靜態隨機存取式記憶體來達到1)通過使用單一的NVM器件減少儲存能量,2)透過使用脈衝重寫操作(POW)抑制恢復期間直流短路電流,和3)透過差異提供初始化(DSI)實現高恢復良率。這個初始化並覆寫(IOW)的操作相比以前的nvSRAM提高了盈虧平衡時間(BET)6+X倍。我們使用氧化鉿憶阻式記憶體和90nm工藝製造的16Kb的IOW-7T1R nvSRAM。這代表了有史以來第一實作個驗證的單-NVM nvSRAM晶片。在測試模式下獲得的測量結果證實,所提出的NVSRAM和傳統使用2R的nvSRAM減少2倍儲存耗能和94X恢復恢復耗能。
Energy-efficient chips, such as wearable and IoT devices, employ SRAM for computing and nonvolatile memory (NVM) for power-off storage to reduce standby current. Unfortunately, this 2-macro (SRAM+NVM) scheme cannot achieve frequent power-off and short BET against SRAM at sleep-mode using a low supply-voltage (VDD), due to large energy usage and slow store (power-off) and restore (power-on) operations, caused by the word-by-word serial transfer of data.
Nonvolatile SRAMs (nvSRAM), which perform bit-to-bit data transfer between SRAM and NVM devices within a single cell, are capable of block-level parallel data transfer with faster store/restore operations than are 2-macro schemes.
This study proposes a 7T1R nonvolatile SRAM (nvSRAM) to 1) reduce store energy by using a single NVM device, 2) suppress DC-short current during restore operations through the use of a pulsed-overwrite (POW) scheme, and 3) achieves high restore yield by using a differentially supplied initialization (DSI) scheme. This initialization-and-overwrite (IOW) 7T1R nvSRAM improves breakeven-time (BET) by 6+x, compared to previous nvSRAMs. We fabricated a 16Kb IOW-7T1R nvSRAM using HfOx RRAM and a 90nm process. This represents the first ever silicon verified single-NVM nvSRAM macro. Measurements obtained in test-mode confirm that the proposed nvsRAM reduces store energy by 2x and restore energy by 94x, compared to 2R-based nvSRAMs.
Content
中文摘要 II
Abstract IV
1. Intro 1
1.1 Memories in Modern Processes 1
1.2 Two-Macro Memory solution 2
1.3 Non-volatile SRAM (nvSRAM) 3
2 Emerging Memories 5
2.1 PCM 6
2.2 MRAM 7
2.3 FeRAM 9
2.4 RRAM 10
3. Previous Works 12
3.1 Device Operations 12
3.2 6T2R Cell 15
3.3 8T2R nvSRAM Cell 17
3.4 4T2R MRAM Cell 19
3.5 Rnv8T Cell 21
4. This Work 22
4.1 Cell Structure 23
4.2 Store operation 24
4.2A Bipolar Cell 24
4.2B Unipolar Cell 25
4.3 Restore Operation 26
4.3A Dual supply Initialization (DSI) 26
4.3B Pulse Overwrite (POW) 27
4.4 SRAM Mode Operation 28
5. Analysis 28
5.1 Yield 29
5.2 Energy 29
5.3 WM 32
5.4 Chip-level restore 33
5.5 Restore without Power-down 34
6. Implementation and measurement results 35
6.1 Test Mode Design 35
6.2 Measured Results 37
7. Summary 40
7.1 Future Works 42
References 43
[1] F. Hamzaoglu, et.al., "A 3.8 GHz 153 Mb SRAM Design With Dynamic Stability Enhancement and Leakage Reduction in 45 nm High-k Metal Gate CMOS Technology," IEEE Journal of Solid-State Circuits (JSSC), vol.44, no.1, pp.148,154, Jan. 2009
[2] Y.- H. Chen, et.al., "A 0.6 V Dual-Rail Compiler SRAM Design on 45 nm CMOS Technology With Adaptive SRAM Power for Lower VDD_min VLSIs,", IEEE Journal of Solid-State Circuits (JSSC), vol.44, no.4, pp.1209,1215, April 2009
[3] K. Itoh, et.al., "A deep sub-V, single power-supply SRAM cell with multi-V/sub T/, boosted storage node and dynamic load," Symposium on VLSI Circuits, Digest of Technical Papers(VLSIC) , pp.132,133, 13-15 June 1996
[4] M. Helm, et.al. “A 128Gb MLC NAND-Flash device using 16nm planar cell”, in IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 326-327, 2014
[5] K.-T. Park, et.al. “Three- Dimensional 128Gb MLC Vertical NAND Flash Memory with 24-WL Stacked Layers and 50MB/s High-Speed Programming”, in IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 334-335, 2014
[6] S. Choi, et.al. “A 93.4mm2 64Gb MLC NAND-Flash Memory with 16nm CMOS Technology”, in IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 328-329, 2014
[7] T. Kono, et.al. “40nm Embedded SG-MONOS Flash Macros for Automotive with 160MHz Random Access for Code and Endurance Over 10M Cycles for Data”, in IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 212-213, 2013
[8] K. Watanabe, et.al. “66.3KIOPS-Random-Read 690MB/s-Sequential- Read Universal Flash Storage Device Controller with Unified Memory Extension”, in IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 330-331, 2014
[9] M. Jefremow, et.al. “Bitline-Capacitance-Cancelation Sensing Scheme with 11ns Read Latency and Maximum Read Throughput of 2.9GB/s in 65nm Embedded Flash for Automotive”, in IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 428-430, 2012
[10] W. Wang, et.al., "Nonvolatile SRAM Cell," International Electron Devices Meeting (IEDM), pp.1,4, 11-13 Dec. 2006
[11] S. Yamamoto, Y. Shuto, and S. Sugahara, “Nonvolatile SRAM (NV-SRAM) using functional MOSFET merged with resistive switching devices”, in IEEE Custom Integrated Circuits Conference (CICC), pp. 531-534, 2009
[12] T. Ohsawa, et.al., "A 1 Mb Nonvolatile Embedded Memory Using 4T2MTJ Cell With 32 b Fine-Grained Power Gating Scheme," IEEE Journal of Solid-State Circuits(JSSC), vol.48, no.6, pp.1511,1520, June 2013
[13] P.-F. Chiu, et.al. ," A low store energy, low VDDmin, nonvolatile 8T2R SRAM with 3D stacked RRAM devices for low power mobile applications ", in IEEE Symposium on VLSI Circuits (VLSIC) Dig. Tech. Papers, pp. 229 - 230, 2010
[14] W.J. Kim, et.al. “Extended scalability of perpendicular STT-MRAM towards sub-20nm MTJ node”, in IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers, pp. 24.1.1-24.1.4, 2011
[15] E. Kitagawa, et.al. “Impact of ultra-low power and fast write operation of advanced perpendicular MTJ on power reduction for high-performance mobile CPU”, in IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers, pp. 29.4.1-29.4.4, 2012
[16] Y.-H. Wang, et.al. “Impact of Stray Field on the Switching Properties of Perpendicular MTJ for Scaled MRAM”, in IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers, pp. 29.2.1-29.2.4, 2012
[17] K. Tsunoda, et.al. “Highly Manufacturable Multi-Level Perpendicular MTJ with a Single Top-Pinned Layer and Multiple Barrier/Free Layers”, in IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers, pp. 3.3.1-3.3.4, 2013
[18] S. Masui, et.al., "Design and applications of ferroelectric nonvolatile SRAM and flip-flop with unlimited read/program cycles and stable recall," in Proceedings of the IEEE Custom Integrated Circuits Conference(CICC), pp.403,406, 21-24 Sept. 2003
[19] K. Yamaoka, et.al., "A 0.9-V 1T1C SBT-based embedded nonvolatile FeRAM with a reference voltage scheme and multilayer shielded bit-line structure," IEEE Journal of Solid-State Circuits(JSSC), vol.40, no.1, pp.286,292, Jan. 2005
[20] M. Qazi, et.al., "A 3.4-pJ FeRAM-Enabled D Flip-Flop in 0.13- um CMOS for Nonvolatile Processing in Digital Systems," IEEE Journal of Solid-State Circuits(JSSC), vol.49, no.1, pp.202,211, Jan. 2014
[21] C.-Y. Wen, J. Li, S. Kim, M. Breitwisch, C. Lam, J. Paramesh, and L.T. Pileggi, “A non-volatile look-up table design using PCM (phase-change memory) cells”, in IEEE Symposium on VLSI Circuits (VLSIC) Dig. Tech. Papers, pp. 302-303, 2011
[22] M. Rizzi, et.al. “Statistics of set transition in phase change memory (PCM) arrays”, in IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers, pp. 29.6.1-29.6.4, 2014
[23] H. Pozidis, et.al.” Reliable MLC Data Storage and Retention in Phase-Change Memory After Endurance Cycling”, in IEEE International Memory Workshop(IMW), pp. 100-103, 2013
[24] M.-F. Chang, et.al. “Embedded 1Mb ReRAM in 28nm CMOS with 0.27-to-1V read using swing-sample-and-couple sense amplifier and self-boost-write-termination scheme”, in IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 332-333, 2014
[25] M.-F. Chang, et.al. “A Low-Voltage Bulk-Drain-Driven Read Scheme for Sub-0.5 V 4 Mb 65 nm Logic-Process Compatible Embedded Resistive RAM (ReRAM) Macro”, IEEE J. Solid-State Circuits (JSSC), pp. 2250-2259, 2013
[26] M.-F. Chang, et.al. “Area-Efficient Embedded Resistive RAM (ReRAM) Macros Using Logic-Process Vertical-Parasitic-BJT (VPBJT) Switches and Read-Disturb-Free Temperature-Aware Current-Mode Read Scheme”, IEEE J. Solid-State Circuits (JSSC), pp. 908-916, Apr. 2014
[27] N. Banno, et.al." A Fast and Low-Voltage Cu Complementary-Atom- Switch 1Mb Array with High-Temperature Retention ", in IEEE Symposium on VLSI Technology (VLSIT) Dig. Tech. Papers, pp. 1- 2 , 2014
[28] X. Xue, et.al. “A 0.13 µm 8 Mb Logic-Based Cu Si O ReRAM With Self-Adaptive Operation for Yield Enhancement and Power Reduction”, IEEE J. Solid-State Circuits (JSSC), pp. 1315-1322, May. 2013
[29] M.-F. Chang, et.al. “A High-Speed 7.2-ns Read-Write Random Access 4-Mb Embedded Resistive RAM (ReRAM) Macro Using Process-Variation- Tolerant Current-Mode Read Schemes”, IEEE J. Solid-State Circuits (JSSC), pp. 878-891, Mar. 2013
[30] L.-Y. Huang, et.al. “ReRAM-based 4T2R nonvolatile TCAM with 7x NVM-stress reduction, and 4x improvement in speed-wordlength- capacity for normally-off instant-on filter-based search engines used in big-data processing”, in IEEE Symposium on VLSI Circuits (VLSIC) Dig. Tech. Papers, pp. 1- 2, 2014
[31] K. Eshraghian, et.al. “Memristor MOS Content Addressable Memory (MCAM): Hybrid Architecture for Future High Performance Search Engines”, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp. 1407-1417, Aug. 2010
[32] S. Matsunaga, et.al. “A 3.14 um2 4T-2MTJ-cell fully parallel TCAM based on nonvolatile logic-in- memory architecture”, in IEEE Symposium on VLSI Circuits (VLSIC) Dig. Tech. Papers, pp. 44- 45, 2012
[33] J. Li, R.K. Montoye, M. Ishii, and L. Chang, “1 Mb 0.41 µm² 2T-2R Cell Nonvolatile TCAM With Two-Bit Encoding and Clocked Self-Referenced Sensing”, IEEE J. Solid-State Circuits (JSSC), pp. 896-90, Apr. 2014
[34] W. Wei, et.al., "Design of a Nonvolatile 7T1R SRAM Cell for Instant-on Operation," IEEE Transactions on Nanotechnology, vol.13, no.5, pp.905,916, Sept. 2014
[35] O. Wataru, et.al. “A 4Mb conductive-bridge resistive memory with 2.3GB/s read-throughput and 216MB/s program-throughput”, in IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 210-211, 2011
[36] R. Fackenthal, et.al. “A 16Gb ReRAM with 200MB/s write and 1GB/s read in 27nm technology”, in IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 338-339, 2014
[37] T.-Y. Liu, et.al. “A 130.7mm2 2-layer 32Gb ReRAM memory device in 24nm technology”, in IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 210-211, Sept. 2013
[38] A. Kawahara, et.al. “An 8Mb multi-layered cross-point ReRAM macro with 443MB/s write throughput”, in IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 432-434, 2012
[39] X. P. Wang, et.al." Highly compact 1T-1R architecture (4F2 footprint) involving fully CMOS compatible vertical GAA nano-pillar transistors and oxide-based RRAM cells exhibiting excellent NVM properties and ultra-low power operation ", in IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers, pp. 20.6.1- 20.6.4, 2012
[40] S. Koveshnikov, et.al." Real-time study of switching kinetics in integrated 1T/ HfOx1R RRAM: Intrinsic tunability of set/reset voltage and trade-off with switching time", in IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers, pp. 20.4.1- 20.4.3, 2012
[41] L. Zhang, et.al." High-Drive Current (>1MA/cm2) and Highly Nonlinear (>103) TiN/Amorphous-Silicon/TiN Scalable Bidirectional Selector with Excellent Reliability and Its Variability Impact on the 1S1R Array Performance ", in IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers, pp. 6.8.1- 6.8.4, 2014
[42] H.-Y. Lee, et.al. “Comprehensively study of read disturb immunity and optimal read scheme for high speed HfOx based RRAM with a Ti layer”, in IEEE SSymposium on VLSI Technology, Systems and Applications (VLSI-TSA), pp. 132-133, 2010
[43] M.-C. Hsieh, et.al." Ultra high density 3D via RRAM in pure 28nm CMOS process ", in IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers, pp. 10.3.1- 10.3.4, 2013
[44] Y. Sakotsubo, et.al.," A new approach for improving operating margin of unipolar ReRAM using local minimum of reset voltage ", in IEEE Symposium on VLSI Technology (VLSIT) Dig. Tech. Papers, pp. 87- 88 , 2010
[45] S. H. Jo, T. Kumar, S. Narayanan, W. D. Lu, and H. Nazarian, " 3D-stackable Crossbar Resistive Memory Based on Field Assisted Superlinear Threshold (FAST) Selector ", in IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers, pp. 6.7.1- 6.7.4, 2014
[46] A. Serb, R. Berdan, A. Khiat, C. Papavassiliou, and T. Prodromakis, “Live demonstration: A versatile, low-cost platform for testing large ReRAM cross-bar arrays”, in International Symposium on Circuits and Systems (ISCAS), pp. 441, 2014
[47] J. J. Yang, et.al. “Engineering nonlinearity into memristors for passive crossbar applications”, Applied Physics Letters (APL), 2012, Lett. 100
[48] F. Nardi, S. Balatti, S. Larentis and D. Ielmini ," Complementary switching in metal oxides: Toward diode-less crossbar RRAMs ", in IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers, pp. 31.1.1- 31.1.4, 2011
 
 
 
 
第一頁 上一頁 下一頁 最後一頁 top
* *