|
[1] Y. Taito, et al., "A high density memory for SoC with a 143MHz SRAM interface using sense-synchronized-read/write," IEEE International Solid-State Circuits Conference Digest of Technical Papers, vol.1, pp.306-307, 2003. [2] J. Y. Sim, et al., "A 1.8-V 128-Mb mobile DRAM with double boosting pump, hybrid current sense amplifier, and dual-referenced adjustment scheme for temperature sensor," IEEE Journal of Solid-State Circuits, vol. 38, pp. 631-640, 2003. [3] A. Valero, et al., "An hybrid eDRAM/SRAM macrocell to implement first-level data caches," the 42nd Annual IEEE/ACM International Symposium on Microarchitecture, 2009. [4] S. Tomishima, et al., "A 1.0-V 230-MHz Column Access Embedded DRAM for Portable MPEG Applications," IEEE Journal of Solid-State Circuits, vol. 36, pp. 1728-1737, 2001. [5] S. Tomishima, et al., "A 1.0 V 230 MHz column-access embedded DRAM macro for portable MPEG applications," IEEE International Solid-State Circuits Conference,Digest. Tech. Papers, pp. 384-385, 469, 2001. [6] K. Itoh, VLSI Memory Chip Design: Springer, 2001. [7] K. Itoh, et al., Ultra-Low Voltage Nano-Scale Memories: Springer, 2007. [8] K. Zhang, Embedded Memories for Nano-Scale VLSIs: Springer, 2009. [9] E. Gerritsen, et al., "Evolution of materials technology for stacked-capacitors in 65 nm embedded-DRAM," Solid-State Eletronics, vol. 14, pp. 1767-1775, 2005. [10] H. Takato, "Embedded DRAM Technologies," in Proceeding of the 30th EuropeanSolid-State Device Research Conference, pp. 13-18, 2000. [11] H. Ishiuchi, et al., "Embedded DRAM technologies," in International Electron Devices Meeting Technical Digest, pp. 33-36, 1997. [12] H. Takato, "Embedded DRAM Technologies," presented at the Proceeding of the 30th EuropeanSolid-State Device Research Conference, 2000. [13] K. Itoh, et al., "VLSI memory technology: Current status and future trends," presented at the Proceedings of the 25th European Solid-State Circuits Conference, 1999. [14] P. W. Diodato, "Embedded DRAM: more than just a memory," IEEE Communications Magazine, vol. 38, pp. 118-126, 2000. [15] D. Somasekhar, et al., "2GHz 2Mb 2T Gain-Cell Memory Macro with 128GB/s Bandwidth in a 65nm Logic Process," presented at the IEEE International Solid-State Circuits Conference Digest of Technical Papers, 2008. [16] D. Somasekhar, et al., "2 GHz 2 Mb 2T Gain Cell Memory Macro With 128 GBytes/sec Bandwidth in a 65 nm Logic Process Technology," IEEE Journal of Solid-State Circuits, vol. 44, pp. 174-185, 2009. [17] W. K. Luk and R. H. Dennard, "2T1D memory cell with voltage gain," presented at the Symposium on VLSI Circuits Digest of Technical Papers, 2004. [18] M. T. Chang, et al., "A 65nm low power 2T1D embedded DRAM with leakage current reduction," presented at the IEEE International SOC Conference, 2007. [19] W. K. Luk, et al., "A 3-Transistor DRAM Cell with Gated Diode for Enhanced Speed and Retention Time," Symposium on VLSI Circuits Digest of Technical Papers, pp. 184 - 185, 2006. [20] X. Liang, et al., "Process Variation Tolerant 3T1D-Based Cache Architectures," IEEE/ACM International Symposium on Microarchitecture, pp. 15 - 26, 2007. [21] X. Liang, et al., "Replacing 6T SRAMs with 3T1D DRAMs in the L1 Data Cache to Combat Process Variability," IEEE Micro, vol. 28, pp. 60-68, 2008. [22] S. Ghosh, "Modeling of Retention Time for High-Speed Embedded Dynamic Random Access Memories," presented at the Transactions on Circuits and Systems I, vol.61, pp.2596-2604, 2014 [23] Y. Mori, et al., "New Method for Evaluating Electric Field at Junctions of DRAM Cell Transistors by Measuring Junction Leakage Current," IEEE Transactions on Electron Devices, vol. 56, pp. 252-259, 2009. [24] H. Tanaka, et al., "A Precise On-Chip Voltage Generator for a Gigascale DRAM with a Negative Word-Line Scheme," IEEE Journal of Solid-State Circuits, vol. 34, pp. 1084-1090, 1999. [25] K. Roy, et al., "Leakage current mechanisms and leakage reduction techniques in deep-submicrometer CMOS circuits," Proceedings of the IEEE, vol. 91, pp. 305-327, 2003. [26] Y. Tsukikawa, et al., "An efficient back-bias generator with hybrid pumping circuit for 1.5-V DRAMs," IEEE Journal of Solid-State Circuits, vol. 29, pp. 534-538, 1994. [27] M. Kyeong-Sik and C. Jin-Yong, "A fast pump-down VBB generator for sub-1.5-V DRAMs," IEEE Journal of Solid-State Circuits, vol. 36, pp. 1154-1157, 2001. [28] A. Wang, et al., Subthreshold Design for Ultra Low-Power Systems: Springer-Verlag, 2007. [29] B. L. Anderson and R. L. Anderson, Fundamentals of Semiconductor Devices: McGraw-Hill, 2005. [30] H. Falk, "Prolog to: Leakage current mechanisms and leakage reduction techniques in deep-submicrometer cmos circuits," Proceedings of the IEEE, vol. 91, pp. 303-304, 2003. [31] S. Mukhopadhyay, et al., "Modeling and analysis of loading effect on leakage of nanoscaled bulk-CMOS logic circuits," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 25, pp. 1486-1495, 2006. [32] B. Wicht, et al., "Yield and speed optimization of a latch-type voltage sense amplifier," IEEE Journal of Solid-State Circuits, vol. 39, no. 7, pp. 1148-1158, 2004. [33] S. Hong, et al., "Low-voltage DRAM sensing scheme with offset-cancellation sense amplifier," IEEE Journal of Solid-State Circuits, vol. 37, pp. 1356– 1360, 2002. [34] J. Javanifard, et al., "A 45nm Self-Aligned-Contact Process 1Gb NOR Flash with 5MB/s Program Speed," IEEE International Solid-State Circuits Conference Dig. Tech. Papers, pp. 424-624, Feb. 2008. [35] S. Song, et al., "CMOS device scaling beyond 100 nm," IEEE International Electron Devices Meeting Dig. Tech. Papers, pp. 235-238, 2000 [36] Jean-Pierre Colinge and Cynthia A. Colinge, Physics of Semiconductior Devices. New York, pp. 175-182, 2002. [37] E. Morifuji, et al., "A 1.5 V high performance mixed signal integration with indium channel for 130 nm technology node," IEEE International Electron Devices Meeting Dig. Tech. Papers, pp. 459-462, 2000. [38] C. H. Shih, et al., "Effect of insulated shallow extension for the improved short-channel effect of sub-100 nm MOSFET," International Semiconductor Device Research Symposium, pp. 158-159, 2003. [39] S. Severi, et al., "Diffusion-less junctions and super halo profiles for PMOS transistors formed by SPER and FUSI gate in 45 nm physical gate length devices," IEEE International Electron Devices Meeting Dig. Tech. Papers, pp. 99-102, 2004. [40] T. H. Kim, et al., "Utilizing Reverse Short-Channel Effect for Optimal Subthreshold Circuit Design," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 15, pp. 821-829, 2007. [41] M. C. T. Chao, et al., "Fault models for embedded-DRAM macros," presented at the 46th ACM/IEEE Design Automation Conference, pp. 714-719, 2009. [42] M. Aoki, et al., "A 60-ns 16-Mbit CMOS DRAM with a transposed data-line structure," IEEE Journal of Solid-State Circuits, vol. 23, pp. 1113-1119, 1988 [43] H. Hidaka, et al., “Twisted bit-line architecture for multi-megabit RAMs.” IEEE Journal of Solid-State Circuits, vol. 24, NO. 1 February 1989 6-1-1: array structure [44] M. C. T. Chao, et al., "Fault models for embedded-DRAM macros." presented at the 46th ACM/IEEE Design Automation Conference, 2009 [45] M. Aoki, et al., "A 60-ns 16-Mbit CMOS DRAM with a transposed data-line structure," IEEE Journal of Solid-State Circuits, vol. 23, pp. 1113-1119, 1988. [46] J. Barth, et al., "A 300 MHz multi-banked eDRAM macro featuring GND sense, bit-line twisting and direct reference cell write," in IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp. 156-157 vol.1, 2002. [47] H. Pilo, et al., "A 5.6 ns random cycle 144 Mb DRAM with 1.4 Gb/s/pin and DDR3-SRAM interface," presented at the IEEE International Solid-State Circuits Conference Digest of Technical Papers, 2003. [48] H. Pilo, et al., "A 5.6-ns random cycle 144-Mb DRAM with 1.4 Gb/s/pin and DDR3-SRAM interface," IEEE Journal of Solid-State Circuits, vol. 38, pp. 1974-1980, 2003. [49] J. Barth, et al., "A 500MHz multi-banked compilable DRAM macro with direct write and programmable pipelining," IEEE International Solid-State Circuits Conference Digest of Technical Papers, vol. 1, pp. 204-523, 2004. [50] J. E. Barth, Jr., et al., "A 500-MHz Multi-Banked Compilable DRAM Macro with Direct Write and Programmable Pipelining," IEEE Journal of Solid-State Circuits, vol. 40, pp. 213-222, 2005. [51] J. Barth, et al., "A 500MHz Random Cycle 1.5ns-Latency, SOI Embedded DRAM Macro Featuring a 3T Micro Sense Amplifier," IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp. 486-617, 2007. [52] J. Barth, et al., "A 500 MHz Random Cycle, 1.5 ns Latency, SOI Embedded DRAM Macro Featuring a Three-Transistor Micro Sense Amplifier," IEEE Journal of Solid-State Circuits, vol. 43, pp. 86-95, 2008. [53] N. C. C. Lu and H. H. Chao, "Half-VDD bit-line sensing scheme in CMOS DRAMs," IEEE Journal of Solid-State Circuits, vol. 19, pp. 451-454, 1984. [54] S. H. Dhang, et al., "High-speed sensing scheme for CMOS DRAMs," IEEE Journal of Solid-State Circuits, vol. 23, pp. 34-40, 1988. [55] S. Romanovsky, et al., "A 500MHz Random-Access Embedded 1Mb DRAM Macro in Bulk CMOS," IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp. 270-612, 2008.
|