帳號:guest(3.129.23.122)          離開系統
字體大小: 字級放大   字級縮小   預設字形  

詳目顯示

以作者查詢圖書館館藏以作者查詢臺灣博碩士論文系統以作者查詢全國書目
作者(中文):洪睿渝
作者(外文):Hung, Jui Yu
論文名稱(中文):應用於記憶體具裕度增強及臨界電壓補償架構之小偏移電壓感測放大器
論文名稱(外文):A Small Offset Voltage Sense Amplifier with Margin Enhancement and Threshold Voltage Compensated Schemes for Memories
指導教授(中文):張孟凡
指導教授(外文):Chang, Meng Fan
口試委員(中文):洪浩喬
邱瀝毅
口試委員(外文):Hong, Hao Chiao
Chiou, Lih Yih
學位類別:碩士
校院名稱:國立清華大學
系所名稱:電機工程學系
學號:102061564
出版年(民國):104
畢業學年度:103
語文別:英文
論文頁數:65
中文關鍵詞:感測放大器小偏移
外文關鍵詞:sense amplifiersmall offset
相關次數:
  • 推薦推薦:0
  • 點閱點閱:211
  • 評分評分:*****
  • 下載下載:0
  • 收藏收藏:0
近年來,非揮發性記憶體普及性高並且應用極廣,其中快閃記憶體因為提供了低成本與高容量的儲存空間,成了非揮發性記憶體中的主流。然而,快閃記憶體需要高電壓與長時間來進行寫入抹除;更糟的是,快閃記憶體在製成微縮下遇到了許多挑戰,像是低儲存單元電流、高偏移臨界電壓與耦合雜訊干擾。相較起來,新興非揮發式記憶體能有效減緩這些問題,並且具有潛力取代快閃記憶體成為下世代的主流非揮發性記憶體。
接觸點電阻式記憶體(Contact ReRAM)具有較低的寫入電壓與較快的寫入時間,並且相容於CMOS邏輯製成。這些特性使接觸點電阻式記憶體對於產業界來說極具吸引力。然而,接觸點電阻式記憶體受困於小阻值率及阻值高偏移問題,再加上CMOS邏輯製成本身的偏移問題,提高了正確讀取記憶單元的困難度。為了改善記憶體系統之讀取速度與良率,我們提出了具裕度增強及臨界電壓補償架構之小偏移電壓感測放大器,我們透過臨界電壓補償架構使偏移量在1V降低了69%,於0.5V降低了63.8%。裕度增強架構提升裕度高達3.7倍的效果。以上兩種架構,使我們的感測放大器可以有效減少位元線電壓發展時間,覆蓋4個標準差的整體速度提升了約30%,壓差輸入僅需20mV即可覆蓋4個標準差的偏移量。
我們在非揮發性內容遁址記憶體(nvTCAM)實現我們的感測放大器,此案於台積電65奈米標準CMOS製成下製作。藉由我們的小偏移感測放大器,量測非揮發性內容遁址記憶體之讀取時間可以達到1.2ns。
In recent years, non-volatile memory is popular and used in many applications. Among them, Flash memory is the mainstream of non-volatile memory, because it provides low-cost and high capacity storage. However, Flash memory demands high program/erase voltage and long program/erase time. Even worse, Flash memory faces many challenges in scaling technology, such as small cell current, large variation of threshold voltage and coupling noise. On the other hand, emerging non-volatile memory can alleviate those concerns, and has the potential to replace Flash memory in the next generation of mainstream non-volatile storage.
CRRAM performs lower program voltage and fast program time with CMOS logic process compatible. These characteristics make CRRAM attractive to industry. Nevertheless, CRRAM suffers from small R-ratio and variation of resistance. Plus the variation of CMOS logic process, it is difficult to sense the memory cell correctly. In order to improve sensing speed and yield of a memory system, we propose a small offset sense amplifier with margin enhancement and threshold voltage compensated schemes. The offset suppression of the proposed sense amplifier is about 69% at 1V and 63.8% at 0.5V by our threshold voltage compensated scheme. The margin enhancement scheme can overall achieve 3.7 times enhanced efficiency. With these two schemes, the sensing speed under 4-Sigma is improved about 30% due to reduced BL developing time. As for yield, the proposed SA only require 20mV input difference to cover 4-Sigma variation.
We implement our proposed SA into an nvTCAM macro fabricated in TSMC 65nm CMOS process. The measured read access time can achieve 1.2ns by our proposed SA.
摘要 i
Abstract ii
致謝 iv
Contents v
List of Figures vii
List of Tables ix
Chapter 1 Introduction 1
1.1 The Role of Memory in SoC products 1
1.2 Memory Landscape 2
1.2.1 RAM 4
1.2.2 CAM 6
1.2.3 ROM 6
1.2.4 Programmable NVMs 7
1.3 Challenges of Flash Memory in Advanced Technology 8
1.4 Emerging Non-Volatile Memories 11
Chapter 2 Characteristic of Contact-RRAM 14
2.1 Structure of Contact-RRAM 15
2.2 Switching Mechanism 17
2.3 Writing Operation 18
2.4 Reading Operation 19
2.5 Distribution of CRRAM 20
Chapter 3 Proposed Design 22
3.1 Design Challenges 22
3.1.1 Threshold Voltage in Process 22
3.1.2 Issues of CRRAM 24
3.2 Previous Arts 25
3.2.1 Conventional Latch-Type Voltage Sense Amplifier 25
3.2.2 Published Sensing Schemes 26
3.3 Proposed Sense Amplifier 30
3.3.1 Threshold Voltage Compensation 30
3.3.2 Margin Enhancement 32
3.3.3 Structure of Proposed Sense Amplifier 33
3.3.4 Sensing Operations 35
3.4 Analysis and Comparison 38
3.4.1 Suppressed Offset 39
3.4.2 Efficiency of Margin Enhancement 40
3.4.3 Sensing Speed Improvement 43
3.4.4 Yield Improvement 46
Chapter 4 Measurement Results and Conclusion 48
4.1 CRRAM-based nvTCAM 48
4.2 Design for Test 50
4.3 Measured Performance 52
4.4 Conclusions and Future Work 57
Reference 59
[1] Kiyoo Itoh, Takayasu Sakurai, “VLSI Memory Chip Design”, Springer-Verlag, NY, pp. 1-46, 2001.
[2] Mark Bohr, et al., “The New Era of Scaling in an SoC World,” IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp. 23-28, Feb. 2009.
[3] ITRS, “2001 Technology Roadmap For Semiconductors,” IEEE Computer, vol. 35, issue 1, pp. 42–53, Jan. 2002.
[4] Francesco Menichelli, Mauro Olivieri, “Static Minimization of Total Energy Consumption in Memory Subsystem for Scratchpad-Based Systems-on-Chips,” IEEE Transactions on Very Large Scale Integration Systems, vol. 17, issue 2, pp. 161-171, Jan. 2009.
[5] Hulfang Qin, Yu Cao, Dejan Markovic, Andrei Vladimirescu, Jan Rabaey, “SRAM leakage suppression by minimizing standby supply voltage,” IEEE International Symposium on Quality Electronic Design, pp. 55-60, 2004.
[6] Koji Nii, Hiroshi Makino, Yoshiki Tujihashi, Chikayoshi Morishima, Yasushi Hayakawa, Hiroyuki Nunogami, Takahiko Arakawa, Hisanori Hamano, “A Low Power SRAM using Auto-Backgate-Controlled MT-CMOS,” IEEE International Symposium on Low Power Electronics and Design, pp. 293-298, Aug. 1998.
[7] Chikayoshi Morishima, Koji Nii, Yoshiki Tsujihashi, Yasushi Hayakawa, Hiroshi Makino, “A 1-V 20-ns 512-Kbit MT-CMOS SRAM with Auto-Power-Cut Scheme Using Dummy Memory Cells,” IEEE European Solid-State Circuit Conference , pp. 452-455, Sept. 1998.
[8] Fatih Hamzaoglu, Umut Arslan, Nabhendra Bisnik, Swaroop Ghosh, Manoj B. Lal, Nick Lindert, Mesut Meterelliyoz, Randy B. Osborne, Joodong Park, Shigeki Tomishima, Yih Wang, Kevin Zhang, “A 1 Gb 2 GHz 128 GB/s Bandwidth Embedded DRAM in 22 nm Tri-Gate CMOS Technology,” IEEE Journal of Solid-State Circuits, vol. 50, Issue 1, pp. 150-157, Sept. 2014.
[9] Ki Chul Chun, Wei Zhang, Pulkit Jain, Chris H. Kim, “A 700MHz 2T1C Embedded DRAM Macro in a Generic Logic Process with No Bossted Supplies,” IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp. 506-507, Feb. 2011.
[10] Jing Li, Robert K. Montoye, Masatoshi Ishii, Leland Chang, “1 Mb 0.41 µm² 2T-2R Cell Nonvolatile TCAM With Two-Bit Encoding and Clocked Self-Referenced Sensing,” IEEE Journal of Solid-State Circuits, vol. 49, Issue 4, pp. 896-907, April. 2013.
[11] Meng-Fan Chang, Chien-Chen Lin, Albert Lee, Chia-Chen Kuo, Geng-Hau Yang, Hsiang-Jen Tsai, Tien-Fu Chen, Shyh-Shyuan Sheu, Pei-Ling Tseng, Heng-Yuan Lee, Tzu-Kun Ku, “A 3T1R Nonvolatile TCAM Using MLC ReRAM with Sub-1ns Search Time,” IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp. 1-3, Feb. 2015.
[12] Doug Smith, John Zeiter, Terry Bowman, Jeff Rahm, Bob Kertis, Ann Hall, Saul Natan, Len Sanderson, Rob Tromp, Joseph Tsang, “A 3.6ns 1Kb ECL I/O BiCMOS U.V. EPROM,” IEEE International Symposium on Circuits and Systems, vol. 3, pp. 1987-1990, May 1990.
[13] Clinton Kuo, Mark Weidner, Thomas Toms, Henry Choe, Ko-Min Chang, Ann Harwood, Joseph Jelemensky, Philip Smith, “A 512-kb flash EEPROM embedded in a 32-b microcontroller,” IEEE Journal of Solid-State Circuits, vol. 27, Issue 4, pp. 574-582, Apr. 1992.
[14] Sarvesh H. Kulkarni, Zhanping Chen, Jun He, Lei Jiang, M. Brian Pedersen, Kevin Zhang, “A 4 kb Metal-Fuse OTP-ROM Macro Featuring a 2 V Programmable 1.37 μm2 1T1R Bit Cell in 32 nm High-k Metal-Gate CMOS,” IEEE Journal of Solid-State Circuits, vol. 45, Issue 4, pp. 863-868, Apr. 2010.
[15] Yi-Hung Tsai, Hsin-Ming Chen, Hsin-Yi Chiu, Hung-Sheng Shih, Han-Chao Lai, Ya-Chin King, Chrong Jung Lin, “45nm Gateless Anti-Fuse Cell with CMOS Fully Compatible Process,” IEEE International Electron Devices Meeting Digest of Technical Papers, pp. 95-98, Dec. 2007.
[16] Sang Lyul Min, Eyee Hyun Nam, “Current trends in flash memory technology,” IEEE Asia and South Pacific Conference on Design Automation, pp. 24-27, Jan. 2006.
[17] Fujio Masuoka, Masaki Momodomi, Yoshihisa Iwata, Riichiro Shirota, "New ultra high density EPROM and flash EEPROM with NAND structure cell," IEEE International Electron Devices Meeting Digest of Technical Papers, vol. 33, pp. 552-555, 1987.
[18] A. Bergemont, H. Haggag, L. Anderson, E. Shacham, G. Wolstenholme, "NOR virtual ground (NVG)-a new scaling concept for very high density flash EEPROM and its implementation in a 0.5 um process," IEEE International Electron Devices Meeting Digest of Technical Papers, pp. 15-18, Dec. 1993.
[19] Roberto Bez, Emilio Camerlenghi, Alberto Modelli, Angelo Visconti, "Introduction to Flash Memory," Proceeding of the IEEE, vol. 91, Issue 4, pp. 489-502, April 2003.
[20] Yohwan Koh, “NAND Flash Scaling beyond 20nm,” IEEE Internstional Memory Workshop, pp. 1-3, May 2009.
[21] KirK Prall, “Scaling Non-Volatile Memory Below 30nm,” IEEE Non-Volatile Semiconductor Memory Workshop, pp. 5-10, Aug. 2007.
[22] Seokkiu Lee, "Scaling Challenges in NAND Flash Device toward 10nm Technology," IEEE International Memory Workshop, pp. 1-4, May 2012.
[23] Jaehoon Jang, Han-Soo Kim, Wonseok Cho, Hoosung Cho, Jinho Kim, Sun Il Shim, Younggoan Jang, Jae-Hun Jeong, Byoung-Keun Son, Dong Woo Kim, Kihyun Kim, Jae-Joo Shim, Jin Soo Lim, Kyoung-Hoon Kim, Su Youn Yi, Ju-Young Lim, Dewill Chung, Hui-Chang Moon, Sungmin Hwang, Jong-Wook Lee, Yong-Hoon Son, U-In Chung,Won-Seong Lee, "Vertical cell array using TCAT technology for ultra high density NAND flash memory," IEEE Symposium on VLSI Technology Digest of Technical Papers, pp. 192-193, June 2009.
[24] Takashi Maeda, Kiyotaro Itagaki, Tomoo Hishida, Ryota Katsumata, Masaru Kito, Yoshiaki Fukuzumi, Masaru Kido, Hiroyasu Tanaka, Yosuke Komori, Megumi Ishiduki, Junya Matsunami, Tomoko Fujiwara, Hideaki Aochi, Yoshihisa Iwata, Yohji Watanabe, “Multi-stacked 1G cell/layer Pipe-shaped BiCS flash memory,” IEEE Symposium on VLSI Circuits Digest of Technical Papers, pp. 16-18, June 2009.
[25] Jiyoung Kim, Augustin J. Hong, Sung Min Kim, Emil B. Song, Jeung Hun Park, Jeonghee Han, Siyoung Choi, Deahyun Jang, Joo -Tae Moon, Kang L .Wang, “Novel Vertical-Stacked-Array-Transistor (VSAT) for ultra-high-density and cost-effective NAND Flash memory devices and SSD (Solid State Drive),” IEEE Symposium on VLSI Technology Digest of Technical Papers, pp. 186-187, June 2009.
[26] C. Villa, D. Vimercati, S. Schippers, E. Confalonieri, M. Sforzin, S. Polizzi, M. La Placa, C. Lisi, A. Magnavacca, E. Bolandrina, A. Martinelli, V. Dima, A. Scavuzzo, B. Calandrino, N. Del Gatto, M. Scardaci, F. Mastroianni, M. Pisasale, A. Geraci, M. Gaibotti, M. Sali., “A 125 MHz burst-mode flexible read-while-write 256 Mbit 2b/c 1.8V NOR flash memory,” IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp. 52–54, Feb. 2005.
[27] Christoph Deml, Maciej Jankowski, Carmen Thalmaier, “A 0.13µm 2.125MB 23.5ns Embedded Flash with 2GB/s Read Throughput for Automotive Microcontrollers,” IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp. 11-15, Feb. 2007.
[28] Mario Sako, Yoshihisa Watanabe, Takao Nakajima, Jumpei Sato, Kazuyoshi Muraoka, Masaki Fujiu, Fumihiro Kouno, Michio Nakagawa, Masami Masuda, Koji Kato, Yuri Terada, Yuki Shimizu, Mitsuaki Honma, Akihiro Imamoto, Tomoko Araya, Hayato Konno, Takuya Okanaga, Tomofumi Fujimura, Xiaoqing Wang, Mai Muramoto, Masahiro Kamoshida, Masatoshi Kohno, Yoshinao Suzuki, Tomoharu Hashiguchi, Tsukasa Kobayashi, Masashi Yamaoka, Ryuji Yamashita, “A low-power 64Gb MLC NAND-flash memory in 15nm CMOS technology,” IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp. 22-26, Feb. 2015.
[29] Chun-Hsiung Hung, Meng-Fan Chang, Yih-Shan Yang, Yao-Jen Kuo, Tzu-Neng Lai, Shin-Jang Shen, Jo-Yu Hsu, Shuo-Nan Hung, Hang-Ting Lue, Yen-Hao Shih, Shih-Lin Huang, Ti-Wen Chen, Tzung Shen Chen, Chung Kuang Chen, Chi-Yu Hung, Chih-Yuan Lu, “Layer-Aware Program-and-Read Schemes for 3D Stackable Vertical-Gate BE-SONOS NAND Flash Against Cross-Layer Process Variations,” IEEE Journal of Solid-State Circuits, vol. pp, Issue 99, pp. 1-11, Apr. 2015.
[30] Jae-Woo Im, Woo-Pyo Jeong, Doo-Hyun Kim, Sang-Wan Nam, Dong-Kyo Shim, Myung-Hoon Choi, Hyun-Jun Yoon, Dae-Han Kim, You-Se Kim, Hyun-Wook Park, Dong-Hun Kwak, Sang-Won Park, Seok-Min Yoon, Wook-Ghee Hahn, Jin-Ho Ryu, Sang-Won Shim, Kyung-Tae Kang, Sung-Ho Choi, Jeong-Don Ihm, Young-Sun Min, In-Mo Kim, Doo-Sub Lee, Ji-Ho Cho, Oh-Suk Kwon, Ji-Sang Lee, Moo-Sung Kim, Sang-Hyun Joo, Jae-Hoon Jang, Sang-Won Hwang, Dae-Seok Byeon, Hyang-Ja Yang, Ki-Tae Park, Kye-Hyun Kyung, Jeong-Hyuk Choi, “A 128Gb 3b/cell V-NAND flash memory with 1Gb/s I/O rate,” IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp. 1-3, Feb. 2015.
[31] David Halupka, Safeen Huda, William Song, Ali Sheikholeslami, Koji Tsunoda, Chikako Yoshida, Masaki Aoki, “Negative-resistance read and write schemes for STT-MRAM in 0.13µm CMOS,” IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp. 256-257, Feb. 2010.
[32] Guido De Sandre, Luca Bettini, Alessandro Pirola, Lionel Marmonier, Marco Pasotti, Massimo Borghi, Paolo Mattavelli, Paola Zuliani, Luca Scotti, Gianfranco Mastracchio, Ferdinando Bedeschi, Roberto Gastaldi, Roberto Bez, "A 90nm 4Mb embedded phase-change memory with 1.2V 12ns read access time and 1MB/s write throughput," IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp. 268-269, Feb. 2010.
[33] Daisaburo Takashima, Yasushi Nagadomi and Tohru Ozaki, "A 100MHz Ladder FeRAM Design with Capacitance-Coupled-Bitline (CCB) Cell," IEEE Symposium on VLSI Circuits Digest of Technical Papers, pp. 227-228, June 2010.
[34] Wataru Otsuka, Koji Miyata, Makoto Kitagawa, Keiichi Tsutsui, Tomohito Tsushima, Hiroshi Yoshihara, Tomohiro Namise, Yasuhiro Terao, Kentaro Ogata, “A 4Mb conductive-bridge resistive memory with 2.3GB/s read-throughput and 216MB/s program-throughput,” IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp. 210-211, Feb. 2011.
[35] K. Aratani, K. Ohba, T. Mizuguchi, S. Yasuda, T. Shiimoto, T. Tsushima, T. Sone, K. Endo, A. Kouchiyama, S. Sasaki, A. Maesaka, N. Yamada, and H. Narisawa, “A Novel Resistance Memory with High Scalability and Nanosecond Switching,” IEEE International Electron Devices Meeting Digest of Technical Papers, pp. 10-12, Dec. 2007.
[36] Yuan Heng Tseng, Chia-En Huang, C. -H. Kuo, Y. -D. Chih, Chrong Jung Lin, “High density and ultra small cell size of Contact ReRAM (CR-RAM) in 90nm CMOS logic technology and circuits,” IEEE International Electron Devices Meeting Digest of Technical Papers, pp. 1-4, Dec. 2009.
[37] ChiaHua Ho, E. K. Lai, M. D. Lee, C. L. Pan, Y. D. Yao, K. Y. Hsieh, Rich Liu, C. Y. Lu, “A Highly Reliable Self-Aligned Graded Oxide WOx Resistance Memory: Conduction Mechanisms and Reliability,” IEEE Symposium on VLSI Technology Digest of Technical Papers, pp. 228-229, June 2007.
[38] Myoung-Jae Lee, Youngsoo Park, Bo-Soo Kang, Seung-Eon Ahn, Changbum Lee, Kihwan Kim, Wenxu. Xianyu, G. Stefanovich, Jung-Hyun Lee, Seok-Jae Chung, Yeon-Hee Kim, Chang-Soo Lee, Jong- Bong Park, In-Gyu Baek, In-Kyeong Yoo,” 2-stack 1D-1R Cross-point Structure with Oxide Diodes as Switch Elements for High Density Resistance RAM Applications,” IEEE International Electron Devices Meeting Digest of Technical Papers, pp. 771-774, Dec. 2007.
[39] H. Y. Lee, P. S. Chen, T. Y. Wu, Y. S. Chen, C. C. Wang, P. J. Tzeng, C. H. Lin, F. Chen, C. H. Lien, M.-J. Tsai, “Low power and high speed bipolar switching with a thin reactive Ti buffer layer in robust HfO2 based RRAM,” IEEE International Electron Devices Meeting Digest of Technical Papers, pp. 1-4, Dec. 2008.
[40] B. Gao, S. Yu, N. Xu, L.F. Liu, B. Sun, X.Y. Liu, R.Q. Han, J.F. Kang, B. Yu, Y.Y. Wang, "Oxide-based RRAM switching mechanism: A new ion-transport-recombination model," IEEE International Electron Devices Meeting Digest of Technical Papers, pp. 1-4, Dec. 2008.
[41] Ching-Hua Wang, Yi-Hung Tsai, Kai-Chun Lin, Meng-Fan Chang, Ya-Chin King, and Chrong-Jung Lin, Shyh-Shyuan Sheu, Yu-Sheng Chen, Heng-Yuan Lee, Frederick T. Chen, Ming-Jinn Tsai, "Three-dimensional 4F2 ReRAM cell with CMOS logic compatible process," IEEE International Electron Devices Meeting Digest of Technical Papers, pp. 29.6.1-29.6.4, Dec. 2010.
[42] Y. S. Chen, H. Y. Lee1, P. S. Chen, P. Y. Gu1, C. W. Chen, W. P. Lin, W. H. Liu, Y. Y. Hsu, S. S. Sheu, P. C. Chiang, W. S. Chen, F. T. Chen, C. H. Lien, M.-J. Tsai, " Highly scalable hafnium oxide memory with improvements of resistive distribution and read disturb immunity," IEEE International Electron Devices Meeting Digest of Technical Papers, pp. 1-4, Dec. 2009.
[43] G. Bersuker, D. C. Gilmer, D. Veksler, J. Yum, H. Park, S. Lian, L. Vandelli, A. Padovani, L. Larcher, K. McKenna, A. Shluger, V. Iglesias, M. Porti, M. Nafría, W. Taylor, P. D. Kirsch, R. Jammy, "Metal oxide RRAM switching mechanism based on conductive filament microscopic properties," IEEE International Electron Devices Meeting Digest of Technical Papers, pp. 19.6.1-19.6.4, Dec. 2010.
[44] Joonmyoung Lee, Jungho Shin, Daeseok Lee, Wootae Lee, Seungjae Jung, Minseok Jo, Jubong Park, Kuyyadi P. Biju, Seonghyun Kim, Sangsu Park, Hyunsang Hwang, "Diode-less nano-scale ZrOx/HfOx RRAM device with excellent switching uniformity and reliability for high-density cross-point memory applications," IEEE International Electron Devices Meeting Digest of Technical Papers, pp. 19.5.1-19.5.4, Dec. 2010.
[45] C. Cagli, D. Ielmini, F. Nardi and A. L. Lacaita, "Evidence for threshold switching in the set process of NiO-based RRAM and physical modeling for set, reset, retention and disturb prediction," IEEE International Electron Devices Meeting Digest of Technical Papers, pp. 1-4, Dec. 2008.
[46] Yuan Heng Tseng, Wen Chao Shen, Chia-En Huang, Chrong Jung Lin, Ya-Chin King, "Electron trapping effect on the switching behavior of contact RRAM devices through random telegraph noise analysis," IEEE International Electron Devices Meeting Digest of Technical Papers, pp. 28.5.1-28.5.4, Dec. 2010.
[47] Byoungil Lee and H.-S. Philip Wong, "NiO resistance change memory with a novel structure for 3D integration and improved confinement of conduction path," IEEE Symposium on VLSI Technology Digest of Technical Papers, pp. 28-29, June 2009.
[48] S. Song, J. H. Yi, W. S. Kim, J. S. Lee, K. Fujihara, H. K. Kang, J. T. Moon, M. Y. Lee, "CMOS device scaling beyond 100 nm," IEEE International Electron Devices Meeting Digest of Technical Papers, pp. 235-238, Dec. 2000.
[49] Jean-Pierre Colinge, Cynthia A. Colinge, “Physics of Semiconductior Devices.” Springer-Verlag, NY, pp. 175-182, 2002.
[50] E. Morifuji, A. Oishi, K. Miyashita, S. Aota, M. Nishigori, H. Ootani, T. Nakayama, K. Miyamoto, F. Matsuoka, T. Noguchi, M. Kakumu, "A 1.5 V high performance mixed signal integration with indium channel for 130 nm technology node," IEEE International Electron Devices Meeting Digest of Technical Papers, pp. 459-462, Dec. 2000.
[51] Chun-Hsing Shih, Yi-Min Chen and Chenhsin Lien, "Effect of insulated shallow extension for the improved short-channel effect of sub-100 nm MOSFET," International Semiconductor Device Research Symposium, pp. 158-159, Dec. 2003.
[52] S. Seven, K. G. Anil, J. B. Pawl, R. Duffy, K. Henson, R. Lindsay, A. Lauwers, A. Veloso, J. F. de Mameffe, J. Ramos, R. A. Camilla-Castillo, P. Eyben, C. Dachs,W. Vandervost, M. Jurczak, S. Biesemans, K. De Meyer, "Diffusion-less junctions and super halo profiles for PMOS transistors formed by SPER and FUSI gate in 45 nm physical gate length devices," IEEE International Electron Devices Meeting Digest of Technical Papers, pp. 99-102, Dec. 2004.
[53] Bernhard Wicht, Thomas Nirschl, Doris Schmitt-Landsiedel, "Yield and Speed Optimization of a Latch-Type Voltage Sense Amplifier," IEEE Journal of Solid-State Circuits, vol. 39, Issue 7, pp. 1148-1158, July 2004.
[54] Chiu-Chiao Chung, Hongchin Lin, Yen-Tai Lin, "A Novel High-Speed Sense Amplifier for Bi-NOR Flash Memories," IEEE Journal of Solid-State Circuits, vol. 40, Issue 2, pp. 515-522, Feb. 2005.
[55] Bernhard Goll, Horst Zimmermann, "A 65nm CMOS comparator with modified latch to achieve 7GHz/1.3mW at 1.2V and 700MHz/47µW at 0.6V," IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp. 8-12, Feb. 2009.
[56] Meng-Fan Chang, Shin-Jang Shen, Chia-Chi Liu, Che-Wei Wu, Yu-Fan Lin, Shang-Chi Wu, Chia-En Huang, Han-Chao Lai, Ya-Chin King, Chorng-Jung Lin, Hung-Jen Liao, Yu-Der Chih, Hiroyuki Yamauchi, "An offset-tolerant current-sampling-based sense amplifier for Sub-100nA-cell-current nonvolatile memory," IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp. 206-208, Feb. 2011.
[57] Mihail Jefremow, Thomas Kern, Wolf Allers, Christian Peters, Jan Otterstedt, Othmane Bahlous, Karl Hofmann, Robert Allinger, Stephan Kassenetter, Doris Schmitt-Landsiedel, "Time-differential sense amplifier for sub-80mV bitline voltage embedded STT-MRAM in 40nm CMOS," IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp. 216-217, Feb. 2013.
[58] Meng-Fan Chang, Che-Wei Wu, Chia-Cheng Kuo, Shin-Jang Shen, Sue-Meng Yang, Ku-Feng Lin, Wen-Chao Shen, Ya-Chin King, Chorng-Jung Lin, Yu-Der Chih, "A Low-Voltage Bulk-Drain-Driven Read Scheme for Sub-0.5 V 4 Mb 65 nm Logic-Process Compatible Embedded Resistive RAM (ReRAM) Macro," IEEE Journal of Solid-State Circuits, vol. 48, Issue 9, pp. 2250-2259, Sept. 2013.
[59] Johnny Javanifard, Tris Tanadi, Hari Giduturi, Kim Loe, Robert L. Melcher, Shahnam Khabiri, Nicholas T. Hendrickson, Andrew D. Proescholdt, David A. Ward, Mark A. Taylor, "A 45nm Self-Aligned-Contact Process 1Gb NOR Flash with 5MB/s Program Speed," IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp. 424-624, Feb. 2008.
[60] Masood Qazi, Kevin Stawiasz, Leland Chang, Anantha Chandrakasan, "A 512kb 8T SRAM macro operating down to 0.57V with an AC-coupled sense amplifier and embedded data-retention-voltage sensor in 45nm SOI CMOS," IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp. 350-351, Feb. 2010.
[61] Bharan Giridhar, Nathaniel Pinckney, Dennis Sylvester, David Blaauw, "A reconfigurable sense amplifier with auto-zero calibration and pre-amplification in 28nm CMOS," IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp. 242-243, Feb. 2014.
 
 
 
 
第一頁 上一頁 下一頁 最後一頁 top
* *