帳號:guest(3.147.62.94)          離開系統
字體大小: 字級放大   字級縮小   預設字形  

詳目顯示

以作者查詢圖書館館藏以作者查詢臺灣博碩士論文系統以作者查詢全國書目
作者(中文):李東諺
作者(外文):Li, Dong Yan
論文名稱(中文):低溫成長介電層與堆疊垂直閘極對於無接面電荷儲存式快閃記憶體元件之特性研究
論文名稱(外文):Low-Temperature Formed Dielectrics and Stacked Vertical Gate on Characteristics of Junctionless Charge Trapping Flash Memory Devices
指導教授(中文):張廖貴術
指導教授(外文):Chang-Liao, Kuei Shu
口試委員(中文):趙天生
謝嘉民
口試委員(外文):Chao, Tien Sheng
Shieh, Jia Min
學位類別:碩士
校院名稱:國立清華大學
系所名稱:工程與系統科學系
學號:102011546
出版年(民國):104
畢業學年度:104
語文別:中文
論文頁數:106
中文關鍵詞:堆疊垂直閘極快閃記憶體奈米線快閃記憶體
外文關鍵詞:Stacked Vertical Gate Junctionless Flash MemoryNano-wire Flash Meemory
相關次數:
  • 推薦推薦:0
  • 點閱點閱:88
  • 評分評分:*****
  • 下載下載:0
  • 收藏收藏:0
近在元件日漸微縮的趨勢下,平面式元件微縮空間有限,使得元件的密度難以再提升而且製程上也變的棘手。因此要增加元件密度以及使電特性提高是目前重要的課題。而目前已經有許多解決方法被提出,像是使用高介電常數材料的應用、奈米線通道的結構、無接面快閃記憶體元件的應用及三維堆疊陣列等等。本篇論文以使用了低溫的二氧化矽當作穿隧層用在奈米線通道結構以及可堆疊式電荷捕捉式快閃記憶體元件,以及高介電常數材料做能帶工程的應用,目的是為了減少熱預算與增加單位面積之元件密度。
第一個實驗室使用了較低溫的感應耦合電漿化學氣相沉積(ICPCVD)成長出來的氧化層來當我們的穿隧層(Tunneling Layer),以及使用高介電常數材料做能帶工程應用來當我們的電荷捕捉層,而結構上都是使用奈米線通道式的結構,可以發現使用低溫的感應耦合電漿化學氣相沉積(ICPCVD)成長出來的氧化層與使用急速升溫退火爐(KORONA)成長出來的氧化層擁有差不多好的電特性,而使用二氧化鉿/氮化矽堆疊的電荷捕捉層比單層的氮化矽擁有較快的寫入抹除速度,在可靠度上面也有所改善,因此能帶工程對元件的特性的確有所改善。
第二個實驗也是使用了較低溫的感應耦合電漿化學氣相沉積(ICPCVD)成長出來的氧化層來當我們的穿隧層(Tunneling Layer),以及使用高介電常數材料做能帶工程應用來當我們的電荷捕捉層,而結構上則是使用了無接面奈米線通道式的結構,可以看到無接面式元件它的確都擁有不錯的寫入特性,能帶工程在寫入特性、記憶窗大小及可靠度特性上都有所改善,因此希望可以將ICPCVD成長的電荷穿隧層應用到未來3-D堆疊結構上。
第三個實驗就是將ICPCVD成長的電荷穿隧層應用到可堆疊式閘極元件上面,並且探討能帶工程在這種可堆疊式閘極元件上是否能有特性的改善,可以發現能帶工程在這種可堆疊式閘極元件上的確改善了他的寫抹速度、電荷保持力及電荷耐久力的特性,但發現到所有元件在可靠度上的表現並不是很好,雖然ICPCVD成長的二氧化矽應用在奈米線結構元件上特性表現得不錯,但是在這種可堆疊式閘極元件上ICPCVD成長的二氧化矽還有很大的改善空間。
The scaling trend of flash device is limited by the dimension of planar device, which makes the process flow more complex. How to improve the operational characteristics and increase the device density at the same time become two of the most important issues. Some approaches have been reported such as high-k material, nanowire channel structure, junctionless (JL) channel and 3D array flash memory devices. In this thesis, a low temperature formed SiO2 is proposed as tunneling layer for nano-wire and stacked vertical gate structure charge trapping (CT) flash memory devices. The purposes are to reduce thermal budget and increase device density.
In the first study, a low temperature formed SiO2 is proposed to replace conventional high temperature SiO2 as tunneling layer. HfO2/Si3N4 stacked trapping layers are implemented to improve the operational characteristics of nano-wire inversion mode flash device. It is found that operational characteristics such as program/erase (P/E) speed、retention and endurance characteristics of low temperature formed SiO2 are as good as those with high temperature formed SiO2. HfO2/Si3N4 stacked trapping layers device has better P/E speed and good reliability characteristics truely.
In the second study, a low temperature formed SiO2 is used as tunneling layer and HfO2/Si3N4 stacked trapping layers to improve the operational characteristics of nano-wire junctionless device. It is found that HfO2/Si3N4 stacked trapping layers device has good P/E speed、memory window and reliability characteristics. Therefore, low temperature formed SiO2 is promising to be applied to 3-D stacked device.
In the last study, low temperature formed SiO2 is applied to stacked vertical gate structure. Effect of HfO2/Si3N4 stacked trapping layers on operational characteristics of the stacked vertical gate device is also studied. It is found that HfO2/Si3N4 stacked trapping layers device improves its P/E speed、retention and endrance characteristics truly. However, the reliability characteristics of all devices are not good enough. Therefore, improvement of ICPCVD formed SiO2 is necessary on such a stacked vertical gate structure.
摘要 I
Abstract III
致謝 V
目錄 VI
表目錄 VIII
圖目錄 IX
第一章 序論 1
1.1 快閃記憶體元件 1
1.1.1 浮動閘極式快閃記憶體元件 1
1.1.2 電荷捕陷式快閃記憶體元件 2
1.2 多晶矽薄膜電晶體 4
1.3 多向式閘極結構與奈米線通道式快閃記憶體元件 4
1.4 高介電係數材料與能帶工程之介紹 5
1.4.1高介電係數材料 5
1.4.2能帶工程 6
1.5 無接面快閃記憶體元件介紹 7
1.6 三維結構可堆疊式NAND快閃記憶體 9
1.7 各章摘要 10
第二章 快閃記憶體元件製程與操作方法 18
2.1快閃記憶體元件製程 18
2.1.1 傳統平面式快閃記憶體元件 18
2.1.2 奈米線式通道快閃記憶體元件製程 19
2.2 快閃記憶體元件寫入與抹除方法 20
2.2.1 CHEI通道熱電子注入寫入 20
2.2.2 F-N穿隧寫入 21
2.2.3 F-N穿隧抹除 22
2.3 快閃記憶體元件可靠度特性 22
2.3.1 電荷保持力 22
2.3.2 耐久力 23
2.4閘極與汲極之干擾特性 24
第三章 利用低溫成長二氧化矽的電荷穿隧層於多晶矽奈米線快閃記憶體元件特性研究 37
3.1研究動機與背景 38
3.2實驗 39
3.3結果與討論 40
3.3.1元件汲極電流對閘極電壓圖與成分分析 40
3.3.2元件寫入與抹除特性 40
3.3.3元件可靠度特性 41
3.4結論 42
第四章 利用低溫成長二氧化矽的電荷穿隧層於無接面式多晶矽奈米線快閃記憶體元件特性研究 57
4.1 研究動機與背景 58
4.2實驗 59
4.3結果與討論 59
4.3.1 元件汲極電流對閘極電壓作圖 59
4.3.2 元件寫入與抹除特性 60
4.3.3 元件可靠度特性 61
4.4 結論 62
第五章 利用低溫成長二氧化矽的電荷穿隧層於垂直閘極快閃記憶體元件特性研究 70
5.1研究動機與背景 70
5.2實驗 71
5.3結果與討論 72
5.3.1元件汲極電流對閘極電壓圖與成分分析 73
5.3.2元件寫入與抹除特性 73
5.3.3 元件可靠度特性 74
5.4結論 75
第六章 結論 87
參考文獻 89
[1] K. San, C. Kaya, and T. Ma, “Effects of erase source bias on flash EPROM device reliability, ” Electron Devices, IEEE Transactions on, vol. 42, no. 1, pp. 150 –159, 1995.
[2] M. White, D. Adams, and J. Bu, “On the go with SONOS,” IEEE Circuits and Devices Magazine,, vol. 16, no. 4, pp. 22 –31, 2000.
[3] M. White, Y. Yang, A. Purwar, and M. French, “A low voltage SONOS nonvolatile semiconductor memory technology,” in Nonvolatile Memory Technology Conference, 1996., Sixth Biennial IEEE International, pp. 52 –57, 1996.
[4] J. Bu and M. White, “Retention reliability enhanced SONOS NVSM with scaled programming voltage,” in Aerospace Conference Proceedings, IEEE, vol. 5, pp. 2383–2390, 2002.
[5] K. Kahng and S. Sze, “A floating gate and its application to memory devices,” Electron Devices, IEEE Transactions on, vol. 14, no. 9, p. 629, 1967.
[6] A. Wang and W. D. Woo, “Static magnetic storage and delay line,” Journal of Applied Physics, vol. 21, no. 1, pp. 49 –54, 1950.
[7] S. M. Sze and K. K. Ng, physics of semiconductor Devices, 3rdEd., Wiley Interscience, Hoboken, N.J. 2007.
[8] T. Y. Tseng and S. M. Sze, Eds, nonvolatile Memories Materials, Devices, and Applications, American Scientific Publishers, Stevenson Ranch, CA, 2012.
[9] N. Yamauchi, J. J. Hajjar and R. Reif, “Polysilicon thin-film transistors with channel length and width comparable to or smaller than the grain size of the thin film” IEEE Trans. Electron Devices, vol. 38, pp. 55-60, 1991.
[10] T. H. Hsu, H. T. Lue, E. K. Lai, J. Y. Hsieh, S. Y. Wang, Y. L. Wu, Y. C. King, T. Yang, K. C. Chen, K. Y. Hsieh, R. Liu and C. Y. Lu, “A high-speed BE-SONOS NAND flash utilizing the field-enhancement effect of FinFET” in IEDM Tech, pp. 913-916, 2007.
[11] R. Chau, S. Datta, M. Doczy, B. Doyle, J. Kavalieros and M. Metz, “High-k metal-gate stack and its MOSFET characteristics” Electron Devices, IEEE Transactions on, vol. 25, pp. 408-410, 2004.
[12] S. C. Lai, H. T. Lue, M. J. Yang, J. Y. Hsieh, S. Y. Wang, T. Wu, G. L. Luo, C. H. Chien, E. K. Lai, K. Y. Hsieh, R. Liu and C. Lu, “MA BE-SONOS: A bandgap engineered SONOS using metal gate and Al2O3 blocking layer to overcome erase saturation” in Non-Volatile Semiconductor Memory Workshop, pp. 88-89, 2007.
[13] Y.N.Tang,W.K.Chim, B.J.Chou, Byung, W.K.Choi, “Over-erase phenomenon in SONOS-type flash memory and its minimization using a hafnium oxide charge storage Layer” Electron Devices, IEEE Transactions on, vol. 51, pp. 1143-1147, 2004.
[14] H. T. Lue, S. Y. Wang, E. K. Lai, Y. H. Shih, S. C. Lai, L. W. Yang, K. Chen, J. Ku, K. Y. Hsieh, R. Liu and C. Y. Lu, “BE-SONOS: A bandgap engineered SONOS with excellent performance and reliability” in IEDM Tech, pp. 547-550, 2005.
[15] Z. H. Ye, K. S. Chang-Liao, T. C. Liu, T. K. Wang, P. J. Tzeng, C. H. Lin and M. J. Tsai, “A novel SONOS-type flash device with stacked charge trapping layer” Microelectron, vol. 86, pp. 1863-1865, 2009.
[16] J. P. Colinge, I. Ferain, A. Kranti, C. W. Lee, N. D. Akhavan, P. Razavi, R. Yan and R. Yu, “Junctionless nanowire transistor: complementary metal-oxide-semiconductor without junctions” vol. 3, pp. 477-482, 2011.
[17] J. P. Colinge, C. W. Lee, A. Afzalian, N. D. Akhavan, R. Yan, I. Ferain, P. Razavi, B. O'Neill, A. Blake, M. White, A. M. Kelleher, B. McCarthy and R. Murphy, “Nanowire transistors without junctions” Nat, Nanotechnol, vol. 5, pp. 225-229, 2010.
[18] C. J. Su, T. K. Su, T. I. Tsai, H. C. Lin and T. Y. Huang, “A junctionless SONOS nonvolatile memory device constructed with in situ-doped polycrystalline silicon nanowires” Nanoscale Res, Lett, vol. 7, pp. 1-6, 2012.
[19] H. T. Lue, Y. H. Hsiao, P. Y. Du, S. C. Lai, T. H. Hsu, S. P. Hong, M. T. Wu, F. H. Hsu, N. Z. Lien, C. P. Lu, J. Y. Hsieh, L. W. Yang, T. Yang, K. C. Chen, K. Y. Hsieh, R. Liu and C. Y. Lu, “A novel buried-channel FinFET BE-SONOS NAND flash with improved memory window and cycling endurance” in VLSI Symp, Tech, pp. 224-225, 2009.
[20] R. Katsumata, M. Kito, Y. Fukuzumi, M. Kido, H. Tanaka, Y. Komori, M. Ishiduki, J. Matsunami, T. Fujiwara, Y. Nagata, Li Zhang, Y. Iwata, R. Kirisawa, H. Aochi and A. Nitayama, “Pipe-shaped BiCS flash memory with 16 stacked layers and multi-level-cell operation for ultra high density storage devices” in VLSI Symp, Tech, pp. 136-137, 2009,.
[21] J. Jang, H. S. Kim, W. Cho, H. Cho, J. Kim, S. I. Shim, Y. Jang, J. H. Jeong, B. K. Son, D. W. Kim, J. J. Shim, J. S. Lim, K. H. Kim, S. Y. Yi, J. Y. Lim, C. Dewill, H. C. Moon, S. Hwang, J. W. Lee, Y. H. Son, U. Chung and W. S. Lee, “Vertical cell array using TCAT(terabit cell array transistor) technology for ultra high density NAND flash memory” in VLSI Symp. Tech, pp. 192-193, 2009.
[22] W. Tsai, N. Zous, C. Liu, C. Liu, C. Chen, T. Wang, S. Pan, C.-Y. Lu, and S. Gu, “Data retention behavior of a SONOS type two-bit storage flash memory cell” in Electron Devices Meeting, IEDM, Technical Digest, International, pp. 32.6.1 –32.6.4, 2001.
[23] Z. H. Ye, K. S. Chang-Liao, C. Y. Tsai, T. T. Tsai and T. K. Wang, “Enhanced Operation in Charge-Trapping Nonvolatile Memory Device With Si3N4/Al2O3/HfO2 Charge-Trapping Layer” IEEE Electron Device Lett, vol. 33, pp. 1351-1353, 2012.
[24] T. Yan-Ny, W. K. Chim, C. Wee Kiong, J. Moon-Sig, and B. Jin Cho, “Hafnium aluminum oxide as charge storage and blocking-oxide layers in SONOS-type nonvolatile memory for high-speed operation” Electron Devices, IEEE Transactions on, vol. 53, pp. 654-662, 2006.
[25] M. Heyns, S. Beckx, H. Bender, P. Blomme, W. Boullart, B. Brijs, et al., “Scaling of high-k dielectrics towards sub-1nm EOT” in VLSI Technology, Systems, and Applications, International Symposium on, pp. 247-250, 2003.
[26] S. Tam, P.-K. Ko, and C. Hu, “Lucky-electron model of channel hot- electron injection in MOSFET’s,” Electron Devices, IEEE Transactions on, vol. 31, no. 9, pp. 1116 – 1125, 1984.
[27] V.A. Gritsenko; N.D. Dikovskaja; K.P. Mogilnikov, “Band diagram and conductivity of silicon oxynitride films” Thin Solid Films Volume 51, Issue 3, 15, pp. 353–357, 1978.
[28] M. H. White, Y. Yang, P. Ansha, and M. L. French, “A low voltage SONOS nonvolatile semiconductor memory technology” Components, Packaging, and Manufacturing Technology, Part A, IEEE Transactions on, vol. 20, pp. 190-195, 1997.
[29] Jeng-Hwa Liao; Jung-Yu Hsieh; Hang-Ting Lue; Ling-Wu Yang; Tahone Yang; Kuang-Chao Chen; Chih-Yuan Lu, “Performance and reliability optimizations of BE-SONOS NAND Flash using SiON bandgap-tuning tunneling barrier” Reliability Physics Symposium (IRPS), IEEE International , pp.639,643, 2010.
[30] Xin Guo; T-P,Ma, “Tunneling leakage current in oxynitride: dependence on oxygen/nitrogen content” Electron Device Letters, IEEE , vol.19, no.6, pp.207,209, 1998.
[31] Teng-Hao Yeh; Pei-Ying Du; Tzu-Hsuan Hsu; Wei-Chen Chen; Hang-Ting Lue; Yen-Hao Shih; Yu-De Huang; Han-Hui Hsu; Lo-Yueh Lin; Ya-Chin King; Tahone Yang; Chih-Yuan Lu, “Increasing VG-type 3D NAND flash cell density by using ultra-thin poly-silicon channels” Memory Workshop (IMW), 2013 5th IEEE International , pp.139,142, 2013.
[32] Y. Sun, H. Y. Yu, N. Singh, K. C. Leong, E. Quek, G. Q. Lo and D. L. Kwong, “Demonstration of memory string with stacked junction-less SONOS realized on vertical silicon nanowire” in IEDM Tech, pp. 9.7.1-9.7.4, 2011.
[33] T. Yan-Ny, W. K. Chim, C. Wee Kiong, J. Moon-Sig, and B. Jin Cho, “Hafnium aluminum oxide as charge storage and blocking-oxide layers in SONOS-type nonvolatile memory for high-speed operation” Electron Devices, IEEE Transactions on, vol. 53, pp. 654-662, 2006.
[34] Ping-Hung Tsai; Kuei-Shu Chang-Liao; Chu-Yung Liu; Tien-Ko Wang; Tzeng, P. -J; Lin, C.H.; Lee, L.S.; Tsai, M.-J., “Novel SONOS-Type Nonvolatile Memory Device With Optimal Al Doping in HfAlO Charge-Trapping Layer” Electron Devices, IEEE Transactions on, IEEE, vol. 29, pp. 265-268, 2008.
[35] C. Sung-Jin, D.-I. Moon, J. P. Duarte, K. Sungho, and Y.-K. Choi, “A novel junctionless all-around-gate SONOS device with a quantum nanowire on a bulk substrate for 3D stack NAND flash memory” in VLSI Technology (VLSIT), Symposium on, pp. 74-75, 2011.
[36] Kuzum, D.; Pethe, A.J.; Krishnamohan, Tejas; Oshima, Yasuhiro; Sun, Yun; McVittie, Jim P.; Pianetta, Piero A.; McIntyre, Paul C.; K.C. Saraswat, “Interface-Engineered Ge (100) and (111), N- and P-FETs with High Mobility” Electron Devices Meeting, IEDM, IEEE International , pp.723,726, 2007.
[37] Huet, K.; Toque-Tresonne, I.; Mazzamuto, F.; Emeraud, T.; Besaucele, H., “Laser Thermal Annealing: A low thermal budget solution for advanced structures and new materials” Junction Technology (IWJT), International Workshop on , vol., no, pp.1,6, 18-20 May 2014
[38] Y. Sun, H.Y. Yu,*N. Singh1, K. C. Leong, E. Quek, G.Q. L, D. L. Kwong , “Demonstration of memory string with stacked junction-less SONOS realized on vertical silicon nanowire” Electron Devices Meeting (IEDM), IEEE International, pp.9.7.1,9.7.4, 2011.
[39] H. T. Lue, et al, “A highly scalable 8-layer 3D vertical-gate(VG) TFT NAND Flash using junction-free buried channel BE-SONOS device” VLSI Technology, Symposium on. pp. 131 – 132, 2010.
[40] J. Jan, et al, “Vertical Cell Array using TCAT(Terabit Cell Array Transistor)Technology for Ultra High Density NAND Flash Memory” VLSI Technology, Symposium on. pp. 192 – 193, 2009
[41] J. Kim, et al, “Novel Vertical-Stacked-Array-Transistor(VSAT) for ultra-high-density and cost-effective NAND Flash memory devices and SSD(Solid State Drive)” VLSI Technology, Symposium on. pp 186 – 187, 2009
[42] 陳柏皓, “低溫沉積之界電層與三維堆疊垂直閘極結構運用於電荷儲存式快閃記憶體之特性研究”, 國立清華大學, 2015
(此全文限內部瀏覽)
電子全文
摘要
 
 
 
 
第一頁 上一頁 下一頁 最後一頁 top
* *