帳號:guest(18.219.212.91)          離開系統
字體大小: 字級放大   字級縮小   預設字形  

詳目顯示

以作者查詢圖書館館藏以作者查詢臺灣博碩士論文系統以作者查詢全國書目
作者(中文):黃柏晨
論文名稱(中文):在三維晶片中考慮串擾的情況下的矽穿孔通道緩衝器插入方法
論文名稱(外文):Crosstalk-aware TSV Buffer Insertion in 3D IC
指導教授(中文):黃婷婷
口試委員(中文):王廷基
麥偉基
學位類別:碩士
校院名稱:國立清華大學
系所名稱:資訊工程學系
學號:101062551
出版年(民國):103
畢業學年度:102
語文別:英文
論文頁數:25
中文關鍵詞:三維晶片矽穿孔通道串擾緩衝器插入
外文關鍵詞:3D ICTSVCrosstalkBuffer insertion
相關次數:
  • 推薦推薦:0
  • 點閱點閱:204
  • 評分評分:*****
  • 下載下載:5
  • 收藏收藏:0
三維晶片整合是減緩線路延遲的前瞻技術。實作三維晶片的方式是整合二維晶片和矽穿孔通道。為了提升三維晶片的良率,矽穿孔通道集結成束成為矽穿孔通道區。遺憾的是,在三維晶片中,在矽穿孔通道區的串擾耦合雜訊會造成顯著的時序降解。傳統上,調整緩衝器尺寸是個有效率的方法去解決時序問題。然而,我們觀察到在三維情況下,矽穿孔通道在增加攻擊者的緩衝器尺寸造成的時序降解會比二維的線路更為嚴重。在這篇論文中,我們推導出受害者矽穿孔通道在不同攻擊者矽穿孔通道緩衝器尺寸下的延遲模型。基於以上的觀察和矽穿孔通道延遲模型,我們提議在平面規劃之後以整數線性規畫為基礎的緩衝器插入方式。我們實驗結果顯示在平面規劃之後,在時序限制下,我們的方法相較於貪婪方法可以降低6.66%的緩衝器面積。
3D integration is one of the promising technology to alleviate interconnection delay. Implementing 3D IC is to integrate 2D ICs with through-silicon vias (TSVs). For yield consideration, TSVs are bundled together as a TSV block. Regrettably, this placement results in crosstalk coupling noise in TSV block which may cause significant timing degradation. Traditionally, buffer sizing is one of the effective methods to solve the problem of timing. However, we observe that the increase of buffer size of aggressor TSV will cause more serious timing degradation to victim TSV in 3D than wires in 2D case. In this paper, we develop a delay model of a victim TSV surrounded by aggressor TSVs with different size of driving buffers. Based on the TSV delay model, we propose an ILP based buffer insertion method after floorplan stage. Our experimental results show that our proposed method can reduce 6.66% buffer area in average under timing constraint after floorplan stage as compared with a greedy method.
1 Introduction 1
2 Motivation 3
2.1 Timing Effect of Sizing-up Buffer . . . . . . . . . . . . . . . . . . . . . . . . 3
2.2 Motivation Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5
3 Problem Formulation 8
4 Simultaneously Sizing Buffers Considering Crosstalk 9
4.1 Flow of Our Algorithm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9
4.2 Modeling TSV Delay Using Linear Equations . . . . . . . . . . . . . . . . . 10
4.3 ILP Formulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15
5 Experiment 17
5.1 Extra Buffer Area Overhead . . . . . . . . . . . . . . . . . . . . . . . . . . . 17
5.2 Overflow of White Space . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19
6 Conclusions 22
[1] W. R. Davis, J. Wilson, S. Mick, J. Xu, H. Hua, C. Mineo, A. M. Sule, M. Steer, and
P. D. Franzon, B, “Demystifying 3D ICs: The pros and cons of going vertical,” Design
and Test of Computers, IEEE, vol.22, Iss.3, pp.498-510, 2005
[2] C. S. Tan, Ronald J. Gutmann, and L. Rafael Reif, “Wafer Level 3-D ICs Process
Technology,” Springer, 2008
[3] S. Pasricha, “Exploring Serial Vertical Interconnects for 3D IC,” Design Automation
Conference, pp.581-586, 2009
[4] K. Tu, “Reliability challenges in 3D IC packaging technology,” Microelectronics Reliability,
vol.51, pp.517-523, 2011
[5] T. Song, C. Liu, D. H. Kim, S. K. Lim, J. Cho, J. Kim, J. S. Pak, S. Ahn, J. Kim, and
K. Yoon, “Analysis of TSV-to-TSV coupling with high-impedance termination in 3D
ICs,” International Symposium on Quality Electronic Design, pp.1-7, 2011
[6] K. Yoon, G. Kim, W. Lee, T. Song, J. Lee, H. Lee, K. Park, and J. Kim, “Modeling and
Analysis of Coupling between TSVs, Metal, and RDL interconnects in TSV-based 3D
IC with Silicon Interposer,” Electronics Packaging Technology Conference, pp.702-706,
2009
[7] R. Weerasekera, M. Grange, D. Pamunuwa, H. Tenhunen, and L.-R. Zheng, “Compact
Modelling of Through-Silicon Vias (TSVs) in Three-Dimensional (3-D) Integrated
Circuits,” IEEE International 3D System Integration Conference, pp.1-8, 2009
[8] C. Liu, T. Song, J. Cho, J. Kim, J. Kim, and S. K. Lim, “Full-chip TSV-to-TSV coupling
analysis and optimization in 3D IC,” Design Automation Conference, pp.783-788, 2011
[9] T. Song, C. Liu, Y. Peng, and S. K. Lim, “Full-chip multiple TSV-to-TSV coupling
extraction and optimization in 3D ICs,” Design Automation Conference, pp.1-7, 2013
[10] T. Xiao, M. Marek-Sadowska, “Crosstalk Reduction by Transistor Sizing,” in Proceedings
of Asia and South Pacific Design Automation Conference, pp.137-140, 1999
[11] Y. Y. Chang, Y. C. Huang, V. Narayanan, and C. T. King, “ShieldUS: A novel design
of dynamic shielding for eliminating 3D TSV crosstalk coupling noise,” in Proceedings
of Asia and South Pacific Design Automation Conference, pp.675-680, 2013
[12] R. Kumar and S. P. Khatri, “Crosstalk avoidance codes for 3D VLSI,” Design, Automation
and Test in Europe, pp.1673-1678, 2013
[13] Q. Zou, D. Niu, Y. Cao, Y. Xie, “3DLAT: TSV-Based 3D ICs Crosstalk Minimization
Utilizing LessAdjacent Transition Code,” in Proceedings of Asia and South Pacific
Design Automation Conference, pp.762-767, 2014
[14] Predictive Technology Model. http://ptm.asu.edu/
[15] J. Cho, E. Song, K. Yoon, J. S. Pak, J. Kim, W. Lee, T. Song, K. Kim, J. Lee, H.
Lee, K. Park, S. Yang, M. Suh, K. Byun, J. Kim, “Modeling and Analysis of Through-
Silicon Via (TSV) Noise Coupling and Suppression Using a Guard Ring,” Components,
Packaging and Manufacturing Technology, IEEE Transactions, vol.1, Iss.2, pp.220-233,
2011
[16] Nangate Open Cell Library. http://www.nangate.com/
[17] M. C. Tsai, T. C. Wang, T. T. Hwang, “Through-Silicon Via Planning in 3-D Floorplanning,”
Very Large Scale Integration (VLSI) Systems, IEEE Transactions, vol.19,
Iss.8, pp.1448-1457, 2011
[18] GLPK. http://www.gnu.org/software/glpk/
[19] Dummy variable regression. http://www.sagepub.com/upm-data/21120 Chapter 7.pdf
 
 
 
 
第一頁 上一頁 下一頁 最後一頁 top
* *