帳號:guest(18.217.209.61)          離開系統
字體大小: 字級放大   字級縮小   預設字形  

詳目顯示

以作者查詢圖書館館藏以作者查詢臺灣博碩士論文系統以作者查詢全國書目
作者(中文):簡惇妃
作者(外文):Chien, Tun-Fei
論文名稱(中文):應用於低功耗系統之具超低電壓讀取與高效率寫入之電阻式隨機存取記憶體
論文名稱(外文):Ultra Low Voltage Read and High Efficiency Write Circuits for Resistive Random Access Memory in Low Power System
指導教授(中文):張孟凡
指導教授(外文):Chang, Meng-Fan
口試委員(中文):張孟凡
邱瀝毅
洪浩喬
口試委員(外文):Meng-Fan Chang
Lih-Yih Chiou
Hao-Chiao Hong
學位類別:碩士
校院名稱:國立清華大學
系所名稱:電機工程學系
學號:101061577
出版年(民國):103
畢業學年度:102
語文別:英文
論文頁數:61
中文關鍵詞:電阻式記憶體感測放大器寫入終止電路
外文關鍵詞:ReRAMSense AmplifierWrite Cutoff Circuit
相關次數:
  • 推薦推薦:0
  • 點閱點閱:528
  • 評分評分:*****
  • 下載下載:0
  • 收藏收藏:0
手持性消費電子產品、醫療電子、車用電子等大量電子產品對非揮發性記憶體的需求愈來愈大,尤其是大容量、低成本、低耗能和高速度的記憶體,和微控制單元(MCU)整合之後可以有效地提升晶片的效能。目前常見的快閃記憶體(NAND Flash Memory)其寫入速度慢且無法隨機存取,隨著製成衍進成奈米等級之後,快閃記憶體在微縮尺寸上面遇到了困難,因此有其必要開發新型的非揮發性記憶體。而電阻式記憶體(ReRAM)是相當具有潛力的非揮發性記憶體,其特色為低寫入功耗、小面積、以及具有邏輯製成相容性,可降低製作成本。
目前普遍的ReRAM記憶細胞架構為一個電晶體和一個ReRAM的組合(1T1R),適合用在需要快速讀取和低供給電壓的內嵌式裝置應用上,特別是電池供給的裝置。隨著元件的微縮,ReRAM的阻值愈來愈高,且寫入時間和阻值的飄移量愈來愈大,造成高阻態和低阻態之間的R-ratio(RH/RL)縮小。除此之外,ReRAM的低阻態偏高,有助於增加寫入時跨在ReRAM上面的跨壓,如此一來,可以減少寫入的電壓,以及記憶細胞架構電晶體的大小。
因此ReRAM記憶體會面臨到兩個問題:
1. 因為ReRAM的低阻態偏高,且R-ratio變小,使得讀取的感測範圍
(sensing margin)變小,進而造成讀取速度慢,並限制了記憶體的最低操作電壓。
2. 由於寫入時間的漂移,大寫入電流(IDC-SET)會造成大量的能量消耗。
在此,我們提出兩個電路來解決上述遇到的兩種問題,分別是swing-sample-and-couple voltage mode sense amplifier (SSC-VSA)和self-boost-write-termination (SBWT) scheme。
我們所提出的SSC-VSA把參考電壓設計在特別的準位上面,經由電路運作後,能夠有效率的把ΔVBLS_MIN當作讀取感測範圍,使得使用率高達99%,因此能操作在更低的電壓以及擁有更快的讀取速度,其讀取速度可比傳統的電壓感測電路快1.7倍以上。
至於ReRAM的寫入方面,我們提出SBWT scheme,它是一個4T的自動偵測寫入完成電路,當ReRAM set (HRS→LRS)成功時,大寫入電流會使得BL電壓上升,啟動BL和SBWT之間的正向回饋機制,切斷電流路徑,可節省99%以上的耗能。
我們以28奈米製程實作1Mb ReRAM記憶體晶片,在供給電壓等於0.85V及0.27V下,量測讀取速度分別為6.8ns和404.4ns。除此之外,我們也成功驗證寫入終止電路機制。
The requirements of non-volatile memories for handheld consumer electronics, medical electronics, car electronics, and lots of electronic products become larger and larger, especially for large capacity, low cost, low power and high speed memory. After integrated with micro controller unit (MCU), it can effectively increase the chip performance. Flash memory is the mainstream embedded memory. However, it cannot achieve high speed write operation and be randomly accessed. Furthermore, it is difficult to scale down flash memory into deep nanometer scale. Thus, developing new nonvolatile memories is necessary. Among these emerging nonvolatile memories, Resistive Random Access Memory (ReRAM) is one of the most promising candidates. It has attractive characteristics such as low write power, small area, and logic-process compatibility which can lower the manufacturing cost.
Currently, the most common memory cell structure is one transistor and one ReRAM (1T1R), which is suitable for high speed and low supply voltage embedded applications, particularly for devices powered by batteries. As devices shrink, ReRAMs have higher cell resistance (R) and greater variations in write time and R, which reduces the R-ratio (RH/RL) between the high-R state (HRS, RH) and low-R state (LRS, RL). ReRAM also has a high RL, which enables a larger voltage drop across ReRAM to reduce write voltage and cell-switch (CS) size.
Thus, ReRAM memory macro designs suffer two major problems:
1. Small sensing margin (SM), limited read-VDDMIN, and slow access time (TAC) due
to high-RL and small R-ratio.
2. Increase in energy due to large set DC-current (IDC-SET) resulting from wide set-time
(TSET) distribution.
Here, we propose swing-sample-and-couple voltage mode sense amplifier (SSC-VSA) and self-boost-write-termination (SBWT) scheme to solve above two major problems, respectively.
Proposed SSC-VSA designs the VREF on specific voltage level, after the operation of the circuit, it can increase the usage of ΔVBLS_MIN (by up to 99%) as the SM for lower read VDDMIN and faster TAC. It can achieve 1.7x faster TAC across various VDD compared to conventional differential-input (CD) voltage mode sense amplifier.
As for ReRAM write operation, proposed SBWT scheme is a 4T self-detective write-termination circuit. When ReRAM successfully sets (HRS→LRS), large IDC-SET will increase the BL voltage, enabling the positive feedback between BL and SBWT and cutting off the current path, which can save over 99% write power.
We fabricated a 28nm 1Mb ReRAM memory macro. Under the 0.85V and 0.27V supply voltage, the measured read access times are 6.8ns and 404.4ns, respectively. Besides, the SBWT scheme has also been demonstrated.
國家圖書館博碩士紙本論文延後公開/下架申請書 i
指導教授推薦書 ii
口試委員審定書 iii
摘要 iv
Abstract vi
致謝 viii
Contents x
List of Figures xiii
List of Tables xvi
Chapter 1 Introduction 1
1.1 The Memory Landscape 1
1.2 Challenges of Flash Memory 2
1.3 Emerging Non-Volatile Memories 4
1.4 Applications of Low Power ReRAM 7
Chapter 2 Characteristics of Contact-ReRAM [43] 11
2.1 Structure of Contact-ReRAM 11
2.2 Switching Mechanism 12
2.3 Write Operation 13
2.4 Read Operation 14
2.5 Distribution of Contact-ReRAM 15
Chapter 3 Design Challenges of Low Power ReRAM 17
3.1 Sense Amplifier 17
3.1.1 ReRAM Read Issue 17
3.1.2 Conventional Sensing Scheme 19
3.1.3 Previous Work 20
3.2 Write Circuit 24
3.2.1 Conventional Write Scheme 24
3.2.2 Previous Work 25
Chapter 4 Proposed Circuits Schemes 27
4.1 Swing-Sample-and-Couple Voltage Mode Sense Amplifier (SSC-VSA) 27
4.1.1 Concept of Proposed Sensing Scheme 27
4.1.2 Operation of Proposed SSC-VSA 28
4.1.3 VREF Generation of Proposed SSC-VSA 31
4.2 Self-Boost-Write-Termination Scheme (SBWT Scheme) 33
4.2.1 Concept of Proposed Write Termination Scheme 33
4.2.2 Operation of Proposed SBWT Writer Driver 34
Chapter 5 Analyses and Comparisons 36
5.1 Proposed SSC-VSA 36
5.1.1 Sensing Margin Comparison 36
5.1.2 Speed Comparison 38
5.1.3 Analyses of the Capacitor in SSC-VSA 39
5.2 Proposed SBWT-WD 40
Chapter 6 Macro Implementation 43
6.1 CRRAM Macro 43
6.2 Design for Timing Control 45
6.3 Design for Low Voltage Application 46
6.4 Design for Test Chip 47
Chapter 7 Experimental Result and Conclusion 49
7.1 Performance Measurement 50
7.2 Conclusions and Future Work 52
Reference 56
[1] C. Villa, et al., "A 125 MHz burst-mode flexible read-while-write 256 Mbit 2b/c 1.8V NOR flash memory," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, vol. 1, pp. 52-584, Feb. 2005.
[2] M.-F. Chang, et al., "A Process Variation Tolerant Embedded Split-Gate Flash Memory Using Pre-Stable Current Sensing Scheme," IEEE Journal of Solid-State Circuits, vol. 44, pp. 987-994, March 2009.
[3] J. Javanifard, et al., "A 45nm Self-Aligned-Contact Process 1Gb NOR Flash with 5MB/s Program Speed," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 424-624, Feb. 2008.
[4] C. Gerardi, et al., "Performance and reliability of a 4Mb Si nanocrystal NOR Flash memory with optimized 1T memory cells," IEEE International Electron Devices Meeting (IEDM), pp. 1-4, Dec. 2008.
[5] T. Ogura, et al., "A Fast Rewritable 90nm 512Mb NOR “B4-Flash” Memory with 8F2 Cell Size," Symposium on VLSI Circuits, pp. 198-199, June 2011.
[6] Hang-Ting Lue, et al., "A highly scalable 8-layer 3D vertical-gate (VG) TFT NAND Flash using junction-free buried channel BE-SONOS device," Symposium on VLSI Technology, pp. 131-132, June 2010.
[7] Chih-Ping Chen, et al., " A highly pitch scalable 3D vertical gate (VG) NAND flash decoded by a novel self-aligned independently controlled double gate (IDG) string select transistor (SSL)," Symposium on VLSI Technology, pp. 91-92, June 2012.
[8] Hang-Ting Lue, et al., "A Novel Bit Alterable 3D NAND Flash Using Junction-free P-channel Device with Band-to-Band Tunneling Induced Hot-Electron Programming," Symposium on VLSI Technology, pp. T152-T153, June 2013.
[9] Ki-Tae Park, et al., "Three-dimensional 128Gb MLC vertical NAND Flash-memory with 24-WL stacked layers and 50MB/s high-speed programming," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 334-335, Feb. 2014.
[10] R. Cernea, et al., "A 34MB/s-Program-Throughput 16Gb MLC NAND with All-Bitline Architecture in 56nm," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 420-624, Feb. 2008.
[11] Choong-Ho Lee, et al., "A highly manufacturable integration technology for 27nm 2 and 3bit/cell NAND flash memory," IEEE International Electron Devices Meeting (IEDM), pp. 5.1.1-5.1.4, Dec. 2010.
[12] Y. Koh, "NAND Flash Scaling Beyond 20nm," IEEE International Memory Workshop, pp. 1-3, May 2009.
[13] K. Prall, "Scaling Non-Volatile Memory Below 30nm," IEEE Non-Volatile Semiconductor Memory Workshop, pp. 5-10, Aug. 2007.
[14] S. Lee, "Scaling Challenges in NAND Flash Device toward 10nm Technology," IEEE International Memory Workshop, pp. 1-4, May 2012.
[15] K. Takeuchi, "Scaling challenges of NAND flash memory and hybrid memory system with storage class memory & NAND flash memory," IEEE Custom Integrated Circuits Conference, pp. 1-6, Sept. 2013.
[16] Y. Shin, "Non-volatile memory technologies for beyond 2010," Symposium on VLSI Circuits Dig. Tech. Papers, pp. 156-159, June 2005.
[17] J.-P. Colinge, et al., "Physics of Semiconductior Devices," Kluwer Academic Publishers, 2002.
[18] R. Takemura, et al., "A 32-Mb SPRAM With 2T1R Memory Cell, Localized Bi-Directional Write Driver and `1'/`0' Dual-Array Equalized Reference Scheme," IEEE Journal of Solid-State Circuits, vol. 45, pp. 869-879, April 2010.
[19] J. J. Nahas, et al., "A 180 Kbit Embeddable MRAM Memory Module," IEEE Journal of Solid-State Circuits, vol. 43, pp. 1826-1834, Aug. 2008.
[20] D. Gogl, et al., "A 16-Mb MRAM featuring bootstrapped write drivers," IEEE Journal of Solid-State Circuits, vol. 40, pp. 902-908, April 2005.
[21] D. Halupka, et al., "Negative-resistance read and write schemes for STT-MRAM in 0.13µm CMOS," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 256-257, Feb. 2010.
[22] Ki-Chul Chun, et al., "A Scaling Roadmap and Performance Evaluation of In-Plane and Perpendicular MTJ Based STT-MRAMs for High-Density Cache Memory," IEEE Journal of Solid-State Circuits, vol. 48, pp. 598-610, Feb. 2013.
[23] G. De Sandre, et al., "A 90nm 4Mb embedded phase-change memory with 1.2V 12ns read access time and 1MB/s write throughput," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 268-269, Feb. 2010.
[24] Kwang-Jin Lee, et al., "A 90nm 1.8V 512Mb Diode-Switch PRAM with 266MB/s Read Throughput," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 472-616, Feb. 2007.
[25] F. Bedeschi, et al., "A Multi-Level-Cell Bipolar-Selected Phase-Change Memory," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 428-625, Feb. 2008.
[26] F. Bedeschi, et al., "A Bipolar-Selected Phase Change Memory Featuring Multi-Level Cell Storage," IEEE Journal of Solid-State Circuits, vol. 44, pp. 217-227, Jan. 2009.
[27] H. Y. Cheng , et al., "A high performance phase change memory with fast switching speed and high temperature retention by engineering the GexSbyTez phase change material," IEEE International Electron Devices Meeting (IEDM), pp. 3.4.1-3.4.4, Dec. 2011.
[28] J. Y. Wu, et al., "A low power phase change memory using thermally confined TaN/TiN bottom electrode " IEEE International Electron Devices Meeting (IEDM), pp. 3.2.1-3.2.4, Dec. 2011.
[29] T. Morikawa, et al., "A low power phase change memory using low thermal conductive doped-Ge2Sb2Te 5 with nano-crystalline structure " IEEE International Electron Devices Meeting (IEDM), pp. 31.4.1-31.4.4, Dec. 2012.
[30] H. Shiga, et al., "A 1.6GB/s DDR2 128Mb chain FeRAM with scalable octal bitline and sensing schemes," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 464-465,465a, Feb. 2009.
[31] D. Takashima, et al., "A scalable shield-bitline-overdrive technique for 1.3V Chain FeRAM," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 262-263, Feb. 2010.
[32] M. Qazi, et al., "A low-voltage 1Mb FeRAM in 0.13μm CMOS featuring time-to-digital sensing for expanded operating margin in scaled CMOS," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 208-210, Feb. 2011.
[33] Yu-Sheng Chen, et al., "Forming-free HfO2 bipolar RRAM device with improved endurance and high speed operation," International Symposium on VLSI Technology, Systems, and Applications, pp. 37-38, April 2009.
[34] H. Y. Lee, et al., "Low power and high speed bipolar switching with a thin reactive Ti buffer layer in robust HfO2 based RRAM," IEEE International Electron Devices Meeting (IEDM), pp. 1-4, Dec. 2008.
[35] Y. S. Chen, et al., "Highly scalable hafnium oxide memory with improvements of resistive distribution and read disturb immunity," IEEE International Electron Devices Meeting (IEDM), pp. 1-4, Dec. 2009.
[36] S.-S. Sheu, et al., "A 5ns fast write multi-level non-volatile 1 K bits RRAM memory with advance write scheme," Symposium on VLSI Circuits, pp. 82-83, June 2009.
[37] Y. H. Tseng, et al., "High density and ultra small cell size of Contact ReRAM (CR-RAM) in 90nm CMOS logic technology and circuits," IEEE International Electron Devices Meeting (IEDM), pp. 1-4, Dec. 2009.
[38] C. H. Cheng, et al., "Novel Ultra-low power RRAM with good endurance and retention," Symposium on VLSI Technology, pp. 85-86, June 2010.
[39] Shyh-Shyuan Sheu, et al., "A 4Mb embedded SLC resistive-RAM macro with 7.2ns read-write random-access time and 160ns MLC-access capability," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 200-202, Feb. 2011.
[40] R. Meyer, et al., "Oxide dual-layer memory element for scalable non-volatile cross-point memory technology," Non-Volatile Memory Technology Symposium, 2008. NVMTS 2008. 9th Annual, pp. 1-5, Nov. 2008.
[41] M.-F. Chang, et al., "A 0.5V 4Mb Logic-Process Compatible Embedded Resistive RAM (ReRAM) in 65nm CMOS Using Low Voltage Current-Mode Sensing Scheme with 45ns Random Read Time," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 434-435, Feb. 2012.
[42] T.-Y. Liu, et al., "A 130.7mm2 2-layer 32Gb ReRAM memory device in 24nm technology," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 210-211, Feb. 2013.
[43] Wen-Chao Shen, et al., "High-K metal gate contact RRAM (CRRAM) in pure 28nm CMOS logic process," IEEE International Electron Devices Meeting (IEDM), pp. 31.6.1-31.6.4, Dec. 2012.
[44] http://www.businesskorea.co.kr/article/1687/local-market-saturation-korea%E2%80%99s-smartphone-market-forecast-negative-growth-year.
[45] http://www.appguru.com.tw/appguru/blog/20839/ipad-mini-2-has-finally-started-selling-the-first-wave-of-pull-national-price-comparison-and.
[46] http://www.cbronline.com/news/mobile-and-tablets/mobile-smart-wearable-devices-revenue-to-reach-19bn-by-2018-161013.
[47] http://medicaldesign.com/electronics/smart-baby-monitor-tracks-rest-and-well-being.
[48] http://www.cvel.clemson.edu/auto/systems/auto-systems.html.
[49] http://bensontao.wordpress.com/2013/10/06/vivante-internet-of-things/.
[50] N. Xu, et al., "A unified physical model of switching behavior in oxide-based RRAM," Symposium on VLSI Technology, pp. 100-101, June 2008.
[51] ChiaHua Ho, et al., "A Highly Reliable Self-Aligned Graded Oxide WOx Resistance Memory: Conduction Mechanisms and Reliability," Symposium on VLSI Technology, pp. 228-229, June 2007.
[52] Myoung-Jae Lee, et al., "2-stack 1D-1R Cross-point Structure with Oxide Diodes as Switch Elements for High Density Resistance RAM Applications," IEEE International Electron Devices Meeting (IEDM), pp. 1-4, Dec. 2008.
[53] B. Gao, et al., "Oxide-based RRAM switching mechanism: A new ion-transport-recombination model," IEEE International Electron Devices Meeting (IEDM), pp. 1-4, Dec. 2008.
[54] Ching-Hua Wang, et al., "Three-dimensional 4F2 ReRAM cell with CMOS logic compatible process," IEEE International Electron Devices Meeting (IEDM), pp. 29.6.1-29.6.4, Dec. 2010.
[55] G. Bersuker, et al., "Metal oxide RRAM switching mechanism based on conductive filament microscopic properties," IEEE International Electron Devices Meeting (IEDM), pp. 19.6.1-19.6.4, Dec. 2010.
[56] Joonmyoung Lee, et al., "Diode-less nano-scale ZrOx/HfOx RRAM device with excellent switching uniformity and reliability for high-density cross-point memory applications," IEEE International Electron Devices Meeting (IEDM), pp. 19.5.1-19.5.4, Dec. 2010.
[57] C. J. Chevallier, et al., "A 0.13um 64Mb multi-layered conductive metal-oxide memory," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 260-261, Feb. 2010.
[58] K. Aratani, et al., "A Novel Resistance Memory with High Scalability and Nanosecond Switching," IEEE International Electron Devices Meeting (IEDM), pp. 783-786, Dec. 2007.
[59] W. Otsuka, et al., "A 4Mb conductive-bridge resistive memory with 2.3GB/s read-throughput and 216MB/s program-throughput," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 210-211, Feb. 2011.
[60] C. Cagli, et al., "Evidence for threshold switching in the set process of NiO-based RRAM and physical modeling for set, reset, retention and disturb prediction," IEEE International Electron Devices Meeting (IEDM), pp. 1-4, Dec. 2008.
[61] Yuan-Heng Tseng, et al., "Electron trapping effect on the switching behavior of contact RRAM devices through random telegraph noise analysis," IEEE International Electron Devices Meeting (IEDM), pp. 28.5.1-28.5.4, Dec. 2010.
[62] Byoungil Lee, et al., "NiO resistance change memory with a novel structure for 3D integration and improved confinement of conduction path," Symposium on VLSI Technology, pp. 28-29, June 2009.
[63] Hong-Yu Chen, et al., "HfOx based vertical resistive random access memory for cost-effective 3D cross-point architecture without cell selector," IEEE International Electron Devices Meeting (IEDM), pp. 20.7.1-20.7.4, Dec. 2012.
[64] Young-Bae Kim, et al., "Bi-layered RRAM with Unlimited Endurance and Extremely Uniform Switching " Symposium on VLSI Technology, pp. 52-53, June 2011.
[65] Z. Wei, et al., "Highly reliable TaOx ReRAM and direct evidence of redox reaction mechanism," IEEE International Electron Devices Meeting (IEDM), pp. 1-4, Dec. 2008.
[66] M.-F. Chang, et al., "An offset tolerant current-sampling-based sense amplifier for sub-100nA-cell-current nonvolatile memory," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 206-207, Feb. 2011.
[67] M. Jefremow, et al., "Time-differential sense amplifier for sub-80mV bitline voltage embedded STT-MRAM in 40nm CMOS," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 216-217, Feb. 2013.
[68] M. Qazi, et al., "A 512kb 8T SRAM macro operating down to 0.57V with an AC-coupled sense amplifier and embedded data-retention-voltage sensor in 45nm SOI CMOS," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 350-351, Feb. 2010.
[69] X.-Y. Xue, et al., "A 0.13um 8Mb logic based CuSiO resistive memory with self-adaptive yield enhancement and operation for power reduction," Symposium on VLSI Technology, pp. 42-43, June 2012.
[70] Meng-Fan Chang, et al., "Area-efficient embedded RRAM macros with sub-5ns random-read-access-time using logic-process parasitic-BJT-switch (0T1R) cell and read-disturb-free temperature-aware current-mode read scheme," Symposium on VLSI Technology, pp. C112-C113, June 2013.
[71] T. Kono, et al., "40nm embedded SG-MONOS flash macros for automotive with 160MHz random access for code and endurance over 10M cycles for data," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 212-213, Feb. 2013.
[72] A. Kawahara, et al., "An 8Mb multi-layered cross-point ReRAM macro with 443MB/s write throughput," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 432-434, Feb. 2012.
(此全文限內部瀏覽)
電子全文
摘要
 
 
 
 
第一頁 上一頁 下一頁 最後一頁 top
* *