帳號:guest(3.147.45.121)          離開系統
字體大小: 字級放大   字級縮小   預設字形  

詳目顯示

以作者查詢圖書館館藏以作者查詢臺灣博碩士論文系統以作者查詢全國書目
作者(中文):張庭豪
論文名稱(中文):應用於雙相分離控制技術低功率6T靜態隨機存取記憶體之削減接地彈跳機制
論文名稱(外文):Ground Bounce Reduction Scheme for Low Voltage 6T SRAM with Dual-Split-Control Assist Technique
指導教授(中文):張孟凡
口試委員(中文):洪浩喬
邱瀝毅
學位類別:碩士
校院名稱:國立清華大學
系所名稱:電子工程研究所
學號:100063532
出版年(民國):103
畢業學年度:103
語文別:中文
論文頁數:59
中文關鍵詞:靜態隨機存取記憶體接地彈跳
相關次數:
  • 推薦推薦:0
  • 點閱點閱:446
  • 評分評分:*****
  • 下載下載:0
  • 收藏收藏:0
由於靜態隨機存取記憶體具有快速存取的記憶功能,因此在電子產品中是一個非常重要的電路。它的容量是影響整個系統速度的主要原因。為了達到大量存取資料的需求,此記憶體在整個系統所佔的面積越來越多。因此,降低功率消耗變成是一個非常重要的議題
為了減少靜態隨機存取記憶體的功率消耗,降低操作電壓是一個有效的方法。然而靜態隨機存取記憶體於低電壓操作將面臨以下問題: (1) 於讀取操作產生讀取失真 (2) 於寫入操作產生半選擇失真 (3) 於寫入操作產生寫入失敗。過去的作品提出一個新穎的記憶胞搭配雙相分離控制電路。此記憶胞的字組線被分成字組線1與字組線2。其特色為單邊寫入於兩個相位中並且單邊讀出於一個相位中。此記憶胞藉由抬高地端的電壓以改善讀取失真。然而,根據記憶胞的佈局,其面臨接地彈跳的問題。因此無法於低電壓下操作。
在這個作品中,我們提出了陣列邊緣記憶體電路。此電路對於降低接地彈跳的主要觀念在於分散接地彈跳到其他未選擇的行之記憶胞地端。為了切換記憶胞的地線為零伏特或抬高的電壓,此電路需要兩個N型的金氧半場效應電晶體當作開關。此外,網狀線的功能是用來降低接地彈跳因為其電流可以藉由網狀線分散到其他的記憶胞地端。
透過二十八奈米互補式金氧半邏輯製程技術,建構出一容量為兩百五十六千字元之新穎記憶胞搭配雙相分離控制電路。藉由示波器以及測試機台PK2之量測,此晶片可於五百八十毫伏之操作電壓下以四百五十五百萬赫茲的頻率運行。此作品之品質因數: 記憶胞穩定性乘以寫入邊限乘以記憶胞電流為其他低操作電壓之靜態隨機存取記憶體的三十二倍。
國立清華大學 碩士論文 I
摘要 V
Abstract VII
致謝 VIII
Contents IX
List of Figure XI
Chapter 1. Introduction 1
1.1 Background 1
1.2 MOSFET Current Model at Low Voltage 5
1.3 Overview of this thesis 7
Chapter 2. Overview of Low Voltage SRAM 8
2.1 Introduction of Conventional SRAM from Chip 8
2.1.1 Types and Functions of Pins 8
2.1.2 Write Operation 10
2.1.3 Read Operation 11
2.1.4 Standby Operation 12
2.2 Introduction of Conventional SRAM from Architecture 13
2.2.1 Basic Architecture 13
2.2.2 Critical Signals 15
2.2.3 Write Operation 17
2.2.4 Read Operation 18
2.2.5 Standby Operation 19
2.3 Introduction of Conventional 6T SRAM Cell 20
2.3.1 Circuit 20
2.3.2 Write Operation 22
2.3.3 Read Operation 22
2.4 Issue for 6T SRAM Cell 23
2.4.1 Process Variation 23
2.4.2 Write Failure in Write Operation 24
2.4.3 Read Disturb in Read Operation and Half-Selected Disturb in Write Operation 25
2.5 Analysis for 6T SRAM Cell Design 26
2.5.1 Write Margin (WM) 26
2.5.2 Static Noise Margin for Read (RSNM) and Hold (HSNM) 27
Chapter 3. Design Challenges of Dual-Split Control 6T Cell 29
3.1 Background and Concept 29
3.2 Operation 31
3.3 Ground Bounce Issue 33
3.3.1 Ground Bounce Analysis in Different Operation 34
3.3.2 Ground Bounce Analysis with Different Configuration of Array 36
3.3.3 Ground Bounce Analysis with Different Corner and Voltage 37
3.4 Ground Bounce Impact 38
3.4.1 HSNM 38
3.4.2 RSNM 40
3.4.3 Summary for SNM 41
Chapter 4. Proposed Array-Edge Memory Scheme 42
4.1 Concept 42
4.2 Circuit 42
4.3 Analysis 43
Chapter 5. Low Voltage SRAM Macro Implementation 45
5.1 Structure of Proposed Scheme 45
5.1.1 Arrangement of Sub-Macros 46
5.1.2 Structure of Sub-Macros 46
5.1.3 Test Chip 47
5.2 Layout of 256kb SRAM 48
5.3 Die photo of SRAM 49
Chapter 6. Experimental Result and Conclusion 50
6.1 Chip Performance Measurement 50
6.2 Thesis Summary and Conclusion 51
Reference 55
[1] J. Rabaey, Low Power Design Essentials. Boston, MA: Springer-Verlag US, 2009.
[2] K. Zhang, et al., "Low-Power SRAMs in Nanoscale CMOS Technologies,"IEEE Trans. Electron Devices, vol. 55, pp. 145-151, Jan. 2008.
[3] Y.-C. Lai, et al., "Resilient Self-VDD-Tuning Scheme With Speed-Margining for Low-Power SRAM," IEEE J. Solid-State Circuits, vol. 44, pp. 2817-2823, Oct. 2009.
[4] R. K. Krishnamurthy, "Ultra-low Voltage Microprocessors Design: Challenges and Solutions," ISSCC 2009 Forum4 : Ultra-low Voltage Circuit Design, 2009.
[5] T. Sakurai, "Variability and Ultra-low Voltage Logic Design," ISSCC 2009 Forum4 : Ultra-low Voltage Circuit Design, 2009.
[6] Y. Wang, et al., "A 4.0 GHz 291 Mb Voltage-Scalable SRAM Design in a 32 nm High-k + Metal-Gate CMOS Technology With Integrated Power Management," IEEE J. Solid-State Circuits, vol. 45, pp. 103-110, Jan. 2010.
[7] M.-F. Chang, et al., "A differential data aware power-supplied (D2AP) 8T SRAM cell with expanded write/read stabilities for lower VDDmin applications," in VLSI Circuits, 2009 Symposium on, pp. 156-157, 2009.
[8] K. Nii, et al., "A 45-nm Bulk CMOS Embedded SRAM With Improved Immunity Against Process and Temperature Variations," IEEE J. Solid-State Circuits, vol. 43, pp. 180-191, Jan. 2008.
[9] F. Hamzaoglu, et al., "A 3.8 GHz 153 Mb SRAM Design With Dynamic Stability Enhancement and Leakage Reduction in 45 nm High-k Metal Gate CMOS Technology," IEEE J. Solid-State Circuits, vol. 44, pp. 148-154, Jan. 2009.
[10] K. Zhang, et al., "SRAM design on 65-nm CMOS technology with dynamic sleep transistor for leakage reduction," IEEE J. Solid-State Circuits, vol. 40, pp. 895-901, Apr. 2005.
[11] M. Yamaoka, et al., "A 300-MHz 25-μA/Mb-leakage on-chip SRAM module featuring process-variation immunity and low-leakage-active mode for mobile-phone application processor," IEEE J. Solid-State Circuits, vol. 40, pp.186-194, Jan. 2005.
[12] N. Weste and D. Harris., CMOS VLSI Design : A Circuits and Systems Perspective 3rd ed. Boston: Pearson/Addison-Wesley, 2005.
[13] B. Calhoun, "Low Energy Digital Circuit Design Using Sub-threshold Operation," Ph. D, Electrical and Computer Engineering, Massachusetts Institute of Technology, Cambridge, MA, U.S., 2005.
[14] M. Qazi, et al., "A 512kb 8T SRAM Macro Operating Down to 0.57V with An AC-Coupled Sense Amplifier and Embedded Data-Retention-Voltage Sensor in 45nm SOI CMOS," ISSCC Dig. Tech. Papers, pp. 350-351, Feb. 2010.
[15] M. E. Sinangil, et al., "A Reconfigurable 8T Ultra-Dynamic Voltage Scalable (U-DVS) SRAM in 65nm CMOS," IEEE J. Solid-State Circuits, vol. 44, pp. 3163-3173, Nov. 2009.
[16] B. Wong, et al., Nano-CMOS Circuit and Physical Design. Hoboken, N.J.: John Wiley, 2004.
[17] A. Bhavnagarwala, "Voltage Scaling Constraints for Static CMOS Logic and Memory Circuits " Ph. D, Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA, U.S., 2001.
[18] K. Roy, et al., "Leakage Current Mechanisms and Leakage Reduction Techniques in Deep-Submicrometer CMOS Circuits," Proceedings of the IEEE, vol. 91, pp. 305-327, Feb. 2003.
[19] E. Seevinck, et al., "Static-noise margin analysis of MOS SRAM cells," IEEE J. Solid-State Circuits, vol. 22, pp. 748-754, Oct. 1987.
[20] A. Agarwal, et al., "A 320mV-to-1.2V On-Die Fine-Grained Reconfigurable Fabric for DSP/Media Accelerators in 32nm CMOS," ISSCC Dig. Tech. Papers, pp. 328-329, Feb. 2010.
[21] M. Wieckowski and M. Margala, "A portless SRAM Cell using stunted wordline drivers," in Circuits and Systems, 2008. ISCAS 2008. IEEE International Symposium on, pp. 584-587, 2008.
[22] M. Wieckowski, et al., "Portless SRAM-A High-Performance Alternative to the 6T Methodology," IEEE J. Solid-State Circuits, vol. 42, pp. 2600-2610, Nov. 2007.
[23] K. Nii, et al., "A 45-nm single-port and dual-port SRAM family with robust read/write stabilizing circuitry under DVFS environment," in VLSI Circuits, 2008 IEEE Symposium on, pp. 212-213, 2008.
[24] D. P. Wang, et al., "A 45nm dual-port SRAM with write and read capability enhancement at low voltage," in SOC Conference, 2007 IEEE International, pp. 211-214, 2007.
[25] S. A. Tawfik and V. Kursun, "Low power and robust 7T dual-Vt SRAM circuit," in Circuits and Systems, 2008. ISCAS 2008. IEEE International Symposium on, pp. 1452-1455, 2008.
[26] J. Singh, et al., "Single ended 6T SRAM with isolated read-port for low-power embedded systems," in Design, Automation & Test in Europe Conference & Exhibition, 2009. DATE '09., pp. 917-922, 2009.
[27] K. Takeda, et al., "A read-static-noise-margin-free SRAM cell for low-VDD and high-speed applications," IEEE J. Solid-State Circuits, vol. 41, pp. 113-121, Jan. 2006.
[28] I. J. Chang, et al., "A 32 kb 10T Sub-Threshold SRAM Array With Bit-Interleaving and Differential Read Scheme in 90 nm CMOS," IEEE J. Solid-State Circuits vol. 44, pp. 650-658, Feb. 2009.
[29] B. H. Calhoun and A. P. Chandrakasan, "A 256-kb 65-nm Sub-threshold SRAM Design for Ultra-Low-Voltage Operation," Solid-State Circuits, IEEE Journal of, vol. 42, pp. 680-688, 2007.
[30] M. Yabuuchi, et al., "A 45nm 0.6V cross-point 8T SRAM with negative biased read/write assist," in VLSI Circuits, 2009 Symposium on, pp. 158-159, 2009.
[31] L. Chang, et al., "Stable SRAM cell design for the 32 nm node and beyond," in VLSI Technology, 2005. Digest of Technical Papers. 2005 Symposium on, pp. 128-129, 2005.
[32] K. Tae-Hyoung, et al., "A 0.2 V, 480 kb Subthreshold SRAM With 1 k Cells Per Bitline for Ultra-Low-Voltage Computing," IEEE J. Solid-State Circuits, vol. 43, pp. 518-529, 2008.
[33] B. H. C. A. Wang, and A. P. Chandrakasan,, "Sub-threshold Design for Ultra Low-Power Systems (Series on Integrated Circuits and Systems)." Secaucus, NJ, USA: Springer-Verlag New York,, 2006.
[34] K. Nii, et al., "A 0.5V 100MHz PD-SOI SRAM with enhanced read stability and write margin by asymmetric MOSFET and forward body bias," in Solid-State Circuits Conference Digest of Technical Papers (ISSCC), 2010 IEEE International, pp. 356-357, 2010.
[35] B. H. Calhoun and A. P. Chandrakasan, "A 256-kb 65-nm Sub-threshold SRAM Design for Ultra-Low-Voltage Operation," IEEE J. Solid-State Circuits, vol. 42, pp. 680-688, 2007.
[36] M. Yamaoka, et al., "90-nm process-variation adaptive embedded SRAM modules with power-line-floating write technique," IEEE J. Solid-State Circuits, vol. 41, pp. 705-711, Mar. 2006.
[37] J. W. Tschanz, et al., "Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage," Solid-State Circuits, IEEE Journal of, vol. 37, pp. 1396-1402, 2002.
[38] M. Saibal, et al., "Reliable and self-repairing SRAM in nano-scale technologies using leakage and delay monitoring," in Test Conference, 2005. Proceedings. ITC 2005. IEEE International, pp. 10 pp.-1135, 2005.
[39] M. Miyazaki, et al., "A 175 mV multiply-accumulate unit using an adaptive supply voltage and body bias (ASB) architecture," in Solid-State Circuits Conference, 2002. Digest of Technical Papers. ISSCC. 2002 IEEE International, pp. 58-444 vol.1, 2002.
[40] S. Mukhopadhyay, et al., "Design of a Process Variation Tolerant Self-Repairing SRAM for Yield Enhancement in Nanoscaled CMOS," Solid-State Circuits, IEEE Journal of, vol. 42, pp. 1370-1382, 2007.
[41] W. Shyh-Chyi, et al., "A CMOS mismatch model and scaling effects," IEEE Electron Device Letters, vol. 18, pp. 261-263, June 1997.
[42] Z. Guo, et al., "Large-Scale SRAM Variability Characterization in 45 nm CMOS," IEEE J. Solid-State Circuits, vol. 44, pp. 3174-3192, Nov. 2009.
[43] L.-T. Pang and B. Nikolic, "Measurements and analysis of process variability in 90 nm CMOS," IEEE J. Solid-State Circuits, vol. 44, pp. 1655-1663, May 2009.
[44] [44] M.F. Chang, et al., 2014. 6T STATIC RANDOM ACCESS MEMORY CELL, ARRAY AND MEORY THEREOF. U.S. Patent 14/159031
 
 
 
 
第一頁 上一頁 下一頁 最後一頁 top
* *