帳號:guest(3.144.242.40)          離開系統
字體大小: 字級放大   字級縮小   預設字形  

詳目顯示

以作者查詢圖書館館藏以作者查詢臺灣博碩士論文系統以作者查詢全國書目
作者(中文):陳翊維
作者(外文):Chen, Yi-Wei
論文名稱(中文):三維堆疊電阻式記憶體高速讀取電路與I/O設計
論文名稱(外文):High Speed Read Circuit and I/O Design for 3D Stacked RRAM
指導教授(中文):張孟凡
指導教授(外文):Chang, Meng-Fan
口試委員(中文):洪浩喬
朱大舜
口試委員(外文):Hon, Hao-Chiao
Chu, Tta-Shun
學位類別:碩士
校院名稱:國立清華大學
系所名稱:電子工程研究所
學號:100063526
出版年(民國):102
畢業學年度:102
語文別:英文
論文頁數:68
中文關鍵詞:三維晶片直通矽晶穿孔電阻式記憶體
外文關鍵詞:3D ICTSVRRAM
相關次數:
  • 推薦推薦:0
  • 點閱點閱:706
  • 評分評分:*****
  • 下載下載:0
  • 收藏收藏:0
摘要
3D IC是近期極為熱門的話題之一,不單是因為有可能打破存在30年之摩爾定律,也因其可縮小體積、降低功耗、提升可靠性與安全性等特性,而備受矚目。並且由於對於同樣容量之記憶體晶片,三維堆疊晶片可擁有較高之良率,進而可以節省整體晶片生產之成本。本研究提出使用三維堆疊電阻式記憶體,將利用晶片立體堆疊技術,快速提升記憶體容量。
我們使用TSV(Through Silicon Via)半導體之三維堆疊技術,設計可堆疊之三維堆疊電阻式記憶體(RRAM) 。此三維堆疊電阻式記憶體將利用三維晶片不同層的晶片可共用部分電路之特性,共用電阻式記憶體之周邊電路,以減少周邊控制電路所佔之電路面積比例,提高電阻式記憶體的記憶胞陣列所占面積比例,達到提高單位面積記憶胞陣列密度的效果,並可藉由垂直堆疊晶片讓電阻式記憶體容量倍增。
本次研究中,我們製作了一個雙層之四百萬位元非同步三維堆疊電阻式記憶體,並對於針對TSV具有的大電容特性,設計了一高速與低耗能之電流式高速傳輸電路。藉由進行垂直堆疊之三維堆疊電阻式記憶體電流式感測放大讀取電路與電流式TSV傳輸電路之整合,我們可回收電流式感測放大讀取電路所用之大電流進行TSV上之資料傳輸,並結合電阻記記憶體所需之讀取感測放大電路與電流式TSV傳輸電路,達到減少三維堆疊電阻式記憶體資料傳輸所需的時間、功耗與面積的效果。量測結果對於43pF之PCB板大電容,所需之額外傳輸時間為0.1ns,額外傳輸能量為1.8pJ.
Abstract
3D IC has become one of the most popular topics recently. It stands a chance to challenge Moore’s law and people high attention to it because it can help reduce the volume, reduce power consumption, rise reliability and security of chip. Also, for a memory with the same capacity, the memory with 3D stacked structure can have higher yield thus reduce the cost of the chip. In this research, we proposed a 3D stacked resistive random access memory (RRAM) which can enlarge the capacity of memory quickly by stacking chips.

We used TSV (Through Silicon Via) semiconductor 3D stack technology to design out 3D stacked resistive random access memory (RRAM). This 3D stacked RRAM can share the common part of circuits in different layers to reduce the area of circuits, increase the area ratio of memory array and increase the area efficiency of memory. In this way, we can build a high density RRAM. Also, we can easily double the capacity of RRAM by vertically stacking chips.

In this research, we build two- layer 4Mb non-volatile 3D stacked RRAM. Also, to deal with the large capacitance of TSV, we design a high speed and low power current TSV transmission circuit. By combining the current sense amplifier of the 3D RRAM and current mode TSV data transmission circuit, we can recycle the large current used when sensing RRAM values and used this current to transmit data on TSV. With this technique we can reduce the power consumption, area cost and access time of 3D stacked RRAM and improve overall performance. The measurement shows that the additional transmission time and energy for 47 pF PCB pad loading are 0.1ns and 1.8pJ.
Contents
摘要
Abstract ii
致謝 iii
Contents iv
List of Figures vi
List of Tables x
Chapter 1 Introduction 1
1.1 Advanced IC Technology: TSV based 3D IC 1
1.2 Emerging Non-volatile Memory: RRAM 4
1.3 Motivation and Application for 3D-RRAM 6
1.3.1 Previous 3D Memory IC Researches 6
1.3.2 TSV based 3D RRAM Memory Cube 11
1.4 Thesis Organization 12
Chapter 2 Characteristic 13
2.1 Characteristic of ITRI 3D IC Process 13
2.1.1 Introduction to ITRI 3D IC Process 13
2.1.2 RC Characteristic Analysis of ITRI 3D Process 14
2.2 Characteristic of ITRI RRAM 16
2.2.1Introduction to ITRI RRAM 16
2.2.2 RRAM operation Analysis of ITRI RRAM 17
Chapter 3 Design Challenage 19
3.1 TSV Loading Issue for Signal Transmission on TSV in 3D IC 19
3.1.1 Conventional Voltage mode TSV Transmission Scheme 20
3.1.2 Conventional Current mode TSV Transmission Scheme 22
3.2 Previous Work 23
3.2.1 Small Swing TSV Transmission Scheme 24
3.2.2 Low Swing TSV Transmission Schemes 24
Chapter 4 Proposed Circuit Scheme 26
4.1 Concepts of proposed I-Mode (Current-Mode) TSV Transmission Scheme 27
4.2 I-Mode TSV Transmission Scheme 31
4.3 Clamping Circuit 33
Chapter 5 Analysis and Comparisons 34
5.1 TSV Transmission Energy Analysis 34
5.2 TSV Transmission Time Analysis 37
5.3 Transmission Circuit Area Analysis 40
5.4 TSV Capacitance Variation Analysis 41
5.5 Summary 43
5.5.1 Analysis with TSMC 180nm Process 44
5.5.2 Analysis with TSMC 65nm Process 47
Chapter 6 Macro Implementation 52
6.1 3D RRAM Macros 52
6.2 3D TSV Transmission Scheme 55
6.3 Test Chip Design 56
Chapter 7 Experimental Results and Conclusion 58
7.1 Measurement Results 58
7.2 Future Work 60
Reference 62
[1] Neil Weste and David Harris, “CMOS VLSI Design: A Circuits and Systems Perspective.”, Pearson Education, 2005.
[2] John H. Lau, et al., “Evolution, challenge, and outlook of TSV, 3D IC integration and 3d silicon integration”, International Symposium on Advanced Packaging Materials (APM), pp. 462-488, 2011.
[3] Chao Zhang1, et al., “Fabrication Cost Analysis for 2D, 2.5D, and 3D IC Designs”, 3D Systems Integration Conference (3DIC), pp. 1-4, 2011.
[4] Uksong Kang, et al., “8 Gb 3-D DDR3 DRAM Using Through-Silicon-Via”, JSSC, pp. 111-119, 2010.
[5] Tomonori, et al., “1-Tbyte/s 1-Gbit DRAM Architecture Using 3-D Interconnect for High-Throughput Computing”, JSSC, pp. 828-837, 2011.
[6] Jung-Sik Kim, et al., “A 1.2V 12.8GB/s 2Gb Mobile Wide-I/O DRAM with4×128 I/Os Using TSV-Based Stacking”, ISSCC, pp. 496-498, 2011.
[7] John Golz, et al., “3D Stackable 32nm High-K/Metal Gate SOI Embedded DRAM Prototype”, Symposium on VLSI, pp. 228-229, 2011.
[8] Hyun-Woo Lee, et al., “A 283.2μW 800Mb/s/pin DLL-Based Data Self-Aligner for Through-Silicon Via (TSV) Interface”, ISSCC, pp. 48-50, 2012.
[9] Matt Wordeman, et al., “A 3D System Prototype of an eDRAM Cache Stacked Over Processor-Like Logic Using Through-Silicon Vias”,ISSCC, pp186-188, 2012.
[10] H. Henry Nho, et al., “High-speed, Low-power 3D-SRAM Architecture”, CICC, pp. 201-204, 2008.
[11] Meng-Fan Chang Nho, et al., “A High Layer Scalability TSV-Based 3D-SRAM With Semi-Master-Slave Structure and Self-Timed Differential-TSV for High-Performance”, JOURNAL OF SOLID-STATE CIRCUITS(JSSC), pp. 1-9, 2013.
[12] Meng-Fan Chang, et al., “A Larger Stacked Layer Number Scalable TSV-based 3D-SRAM for High-Performance Universal-Memory-Capacity 3D-IC Platforms”, Symposium on VLSI, pp. 74-75, 2011.
[13] Dae Hyun Kim1, et al., “3D-MAPS: 3D Massively Parallel Processor with Stacked Memory”, ISSCC, pp. 188-190, 2012.
[14] David Fick, et al., “Centip3De: A 3930DMIPS/W configurable Near-Threshold 3D Stacked System with 64 ARM Cortex-M3 Cores”, ISSCC, pp. 190-192, 2012.
[15] Dong Hyuk Woo, et al., “Pragmatic Integration of an SRAM Row Cache in Heterogeneous 3-D DRAM Architecture Using TSV”, TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, pp. 1-13, 2013.
[16] Ki-Tae Park, et al., “A 45nm 4Gb 3-Dimensional Double-Stacked Multi- Level NAND Flash Memory with Shared Bitline Structure”, ISSCC, pp. 510-512, 2008.
[17] Koichi Ishida, et al., “A 1.8V 30nJ Adaptive Program-Voltage (20V) Generator for 3D-Integrated NAND Flash SSD”, ISSCC, pp. 238-240, 2009.
[18] Shih-Hung Chen, et al., “A Highly Scalable 8-layer Vertical Gate 3D NAND with Split-page Bit Line Layout and Efficient Binary-sum MiLC (Minimal Incremental Layer Cost) Staircase Contacts”, IEDM, pp. 2.3.1-2.3.4, 2012.
[19] Wataru Otsuka et al., “A 4Mb Conductive-Bridge Resistive Memory with 2.3GB/s Read-Throughput and 216MB/s Program-Throughput”,ICCSS, pp. 210-212, 2011.
[20] Young Yang Liauw, et al. “Nonvolatile 3D-FPGA With Monolithically Stacked RRAM-Based Configuration Memory”, ISSCC, pp. 406-408, 2012.
[21] Akifumi Kawahara, et al. “An 8Mb Multi-Layered Cross-Point ReRAM Macro with 443MB/s Write Throughput”, ISSCC, pp. 432-434, 2012.
[22] Tz-Yi Liu1, et al, “A 130.7mm2 2-Layer 32Gb ReRAM Memory Device in 24nm Technology”, ISSCC, pp. 210-212, 2013.
[23] A. Sheikholeslami and P. G. Gulak, “A survey of circuit innovations in ferroelectric random-access memories” Proc. IEEE, vol. 88, pp. 667-689, 2000.
[24] R. Ogiwara, et al, “A 0.5μm, 3-V 1T1C, 1-Mbit FRAM with a variable reference bit-line voltage scheme using a fatigue-free reference capacitor," IEEE J. Solid-State Circuits, vol. 35, pp. 545-551, 2000.
[25] Daisaburo Takashima, et al., “A 100MHz ladder FeRAM design with capacitance-coupled-bitline (CCB) Cell,” in IEEE Symp.VLSI Circuits Dig. Tech. Papers, pp. 227-228, Jun. 2010.
[26] Takashima, D., et al., “Gain cell block architecture for gigabit-scale chain ferroelectric RAM” VLSI Circuits, pp. 103-104, 2000.
[27] Byung-Gil Jeon, et al., “A novel cell charge evaluation scheme and test method for 4 Mb nonvolatile ferroelectric RAM " VLSI and CAD pp. 281-284, 1999.
[28] S. Dietrich, et al., “A nonvolatile 2-Mbit CBRAM memory core featuring advanced read and program control,” IEEE J. Solid-State Circuits, vol. 42, pp. 839-845, Apr. 2007.
[29] S.-S. Sheu, et al., “A 5ns fast write multi-level non-volatile 1 K bits RRAM memory with advance write scheme,” in IEEE Symp.VLSI Circuits Dig. Tech. Papers, pp. 82-83, Jun. 2009.
[30] K. Imamiya, et al., “A 68-ns 4-Mbit CMOS EPROM with high-noise-immunity design,” IEEE J. Solid-State Circuits, vol. 25, pp. 72-78, Jan. 1990.
[31] T. N. Blalock and R. C. Jaeger, “A high-speed clamped bit-line current-mode sense amplifier,” IEEE J. Solid-State Circuits, vol. 26, pp. 542-548, 1991.
[32] M. Bauer, et al., “A multilevel-cell 32 Mb flash memory” in IEEE International Solid-State Circuits Conference Dig. Tech. Papers, pp. 132-133, 351, 1995.
[33] T. Kawahara, et al., “Bit-line clamped sensing multiplex and accurate high voltage generator for quarter-micron flash memories,” IEEE J. Solid-State Circuits, vol. 31, pp. 1590-1600, 1996.
[34] N. Otsuka and M. A. Horowitz, “Circuit techniques for 1.5-V power supply flash memory,” IEEE J. Solid-State Circuits, vol. 32, pp. 1217-1230, 1997.
[35] C. Chiu-Chiao, L. Hongchin, and L. Yen-Tai, “A novel high-speed sense amplifier for Bi-NOR flash memories,” IEEE J. Solid-State Circuits, vol. 40, pp. 515-522, 2005.
[36] A. Conte, G. L. Giudice, G. Palumbo, and A. Signorello, “A high-performance very low-voltage current sense amplifier for nonvolatile memories,” IEEE J. Solid-State Circuits, vol. 40, pp. 507-514, 2005.
[37] S. Myoung-Kyu, et al., “A 130-nm 0.9-V 66-MHz 8-Mb (256K × 32) local SONOS embedded flash EEPROM,” IEEE J. Solid-State Circuits, vol. 40, pp. 877-883, 2005.
[38] M.-F. Chang, et al., “A High-Speed 7.2-ns Read-Write Random Access 4-Mb Embedded Resistive RAM (ReRAM) Macro Using Process-Variation-Tolerant Current-Mode Read Schemes,” IEEE Journal of Solid-State Circuits, vol. 48, no. 3, pp. 878-891, March 2013.
[39] Meng-Fan Chang, et al., "A 4Mb embedded SLC resistive-RAM macro with 7.2ns read-write random-access time and 160ns MLC-access capability", ISSCC, pp. 200-202, 2011.
[40] R. Takemura, et al., “A 32-Mb SPRAM With 2T1R Memory Cell, Localized Bi-Directional Write Driver and ‘1’ / ‘0’ Dual-Array Equalized Reference Scheme,” IEEE J. Solid-State Circuits, vol. 45, pp. 869-879, Apr. 2010.
[41] M. Durlam, et al., “A 1-Mbit MRAM based on 1T1MTJ bit cell integrated with copper interconnects” IEEE J. Solid-State Circuits, vol. 38, pp. 769-773, May 2003.
[42] D. Gogl, C. Arndt, , et al., “A 16-Mb MRAM featuring bootstrapped write drivers,” IEEE J. Solid-State Circuits, vol. 40, pp. 902-908, Apr. 2005.
[43] J. J. Nahas, et al., "A 180 Kbit Embeddable MRAM Memory Module," IEEE J. Solid-State Circuits, vol. 43, pp. 1826-1834, 2008.
[44] D. Halupka, et al., “Negative-resistance read and write schemes for STT-MRAM in 0.13μm CMOS,” in IEEE International Solid-State Circuits Conference Dig. Tech. Papers, pp. 256-257, 2010.
[45] M. Gill, T. Lowrey, and J. Park, “Ovonic unified memory - a high-performance nonvolatile memory technology for stand-alone memory and embedded applications” in IEEE International Solid-State Circuits Conference Dig. Tech. Papers, pp. 202-459 vol.1, 2002.
[46] F. Bedeschi, et al., “4-Mb MOSFET-selected μtrench phase-change memory experimental chip,” IEEE J. Solid-State Circuits, vol. 40, pp. 1557-1565, 2005.
[47] C. Woo Yeong, et al., “A 0.18μm 3.0-V 64-Mb nonvolatile phase-transition random access memory (PRAM),” IEEE J. Solid-State Circuits, vol. 40, pp. 293-300, 2005.
[48] O. Hyung-rok, et al., “Enhanced write performance of a 64-mb phase-change random access memory,” IEEE J. Solid-State Circuits, vol. 41, pp. 122-126, 2006.
[49] S. Kang, , et al., "A 0.1μm 1.8-V 256-Mb phase-change random access memory (PRAM) with 66-MHz synchronous burst-Read operation," IEEE J. Solid-State Circuits, vol. 42, pp. 210-218, 2007.
[50] N. K. S. Hanzawa, et al., “A 512kB embedded phase change memory with 416kB/s write throughput at 100μA cell write current,” in IEEE International Solid-State Circuits Conference Dig. Tech. Papers, pp. 474-616, 2007.
[51] L. Kwang-Jin, et al., “A 90nm 1.8V 512Mb diode-switch PRAM with 266 MB/s read throughput,” IEEE J. Solid-State Circuits, vol. 43, pp. 150-162, 2008.
[52] F. Bedeschi, et al., “A Bipolar-Selected Phase Change Memory Featuring Multi-Level Cell Storage,” IEEE J. Solid-State Circuits, vol. 44, pp. 217-227, 2009.
[53] K. Tsuchida, et al., "A 64Mb MRAM with clamped-reference and adequate-reference schemes," in IEEE International Solid-State Circuits Conference Dig. Tech. Papers, pp. 258-259, 2010.
[54] G. De Sandre, et al., “A 90nm 4Mb embedded phase-change memory with 1.2V 12ns read access time and 1MB/s write throughput,” in IEEE International Solid-State Circuits Conference Dig. Tech. Papers, pp. 268-269, 2010.
[55] Kyung-Wook Paik, et al, “3D-TSV vertical interconnection method using Cu/SnAg double bumps”, LTB-3D, pp. 215, 2012.
[56] 李思翰、賴信吉、許世玄、黃尊禧(民99年11月)。“利用3DIC 矽穿孔製程技術開發積體電感元件之研究。”電光先鋒,15,58-66, 2010。
[57] K. Tsunoda, et al., “Low power and high speed switching of Ti-doped NiO ReRAM under the unipolar voltage source of less than 3 V," in Proc. IEDM, pp. 767-770, 2007.
[58] T. Yuan Heng, H. Chia-En, C. H. Kuo, Y. D. Chih, and L. Chrong Jung, “High density and ultra small cell size of Contact ReRAM (CR-RAM) in 90nm CMOS logic technology and circuits,” in Proc. IEDM, pp. 1-4, 2009.
[59] X. P. Wang, et al., “Highly Compact 1T-1R Architecture (4F2 Footprint) Involving Fully CMOS Compatible Vertical GAA Nano-Pillar Transistors and Oxide-Based RRAM Cells Exhibiting Excellent NVM Properties and Ultra-Low Power Operation,” in Proc. IEDM, pp. 20.6.1-20.6.4, 2012.
[60] C. Yu-Sheng, et al., “Forming-free HfO2 bipolar RRAM device with improved endurance and high speed operation,” in IEEE Symp.VLSI Technology Dig. Tech. Papers, pp. 37-38, 2009.
[61] Y. S. Chen, et al., “Highly scalable hafnium oxide memory with improvements of resistive distribution and read disturb immunity,” in Proc. IEDM, pp. 1-4, 2009.
[62] H.-Y. Lee, et al., “Comprehensively study of read disturb immunity and optimal read scheme for high speed HfOx based RRAM with a Ti layer,” in Symp. VLSI Technology Systems and Applications (VLSI-TSA), pp. 132-133, 2010.
[63] Yong Liu, et al. “A Compact Low-Power 3D I/O in 45nm CMOS”, ISSCC, pp. 142-144, 2012.
[64] Mu-Shan Lin, et al. “An extra low-power 1Tbit/s bandwidth PLL/DLL-less eDRAM PHY using 0.3V low-swing IO for 2.5D CoWoS application”, Symposium on VLSI, pp. C-16-C-17,2013.
[65] K.F. Lin, et al. “A Process Variation Tolerant Sensing Circuit for RRAM”, NTHU Master Thesis, pp. 16. 2010.
(此全文未開放授權)
電子全文
摘要
 
 
 
 
第一頁 上一頁 下一頁 最後一頁 top
* *