帳號:guest(18.117.73.131)          離開系統
字體大小: 字級放大   字級縮小   預設字形  

詳目顯示

以作者查詢圖書館館藏以作者查詢臺灣博碩士論文系統以作者查詢全國書目
作者(中文):俞浩
作者(外文):Yu, Hao
論文名稱(中文):封裝考量之溫度分析及高可靠度之三維晶片設計
論文名稱(外文):Package Aware Thermal Analysis and Reliable 3DIC Design
指導教授(中文):張世杰
指導教授(外文):Chang, Shih-Chieh
口試委員(中文):王廷基
吳文慶
口試委員(外文):Wang, Ting-Chi
Wu, Wen-Ching
學位類別:碩士
校院名稱:國立清華大學
系所名稱:資訊工程學系
學號:100062566
出版年(民國):102
畢業學年度:101
語文別:英文
論文頁數:56
中文關鍵詞:矽穿孔堆疊式晶片裂縫改進式元件擺放中介層晶片封裝熱模型封裝轉換矩陣
外文關鍵詞:Through Silicon Via (TSV)Stacking Integrated Circuit reliabilityCrackGur GameRefinement placementInterposerPackage-chipThermal modelingGreen functionPackage transfer matrix
相關次數:
  • 推薦推薦:0
  • 點閱點閱:227
  • 評分評分:*****
  • 下載下載:10
  • 收藏收藏:0
由於封裝對晶片整體的熱傳導有非常大的影響,在做晶片熱分析時應一併考慮封裝和散熱扇的問題。在本論文第一個研究中,我們將說明獲取一個準確的模擬用封裝模型的困難,並探討不同封裝種類對全晶片之熱響應。為了使晶片設計者能夠易於在各種封裝環境下進行熱模擬,我們提出使用「封裝轉換矩陣(Package-Transfer Matrix, PT-matrix)」,將同一晶片在一封裝下之溫度分布轉換為另一封裝下之溫度分布。為評估及驗證封裝轉換矩陣的準確性,我們採用兩個我們設計之 PBGA 封裝的測試晶片及紅外線放射儀(Infrared Radiation, IR)。實驗結果顯示封裝轉換矩陣能準確地將使用軟體 HotSpot 預設之 CBGA 封裝模擬的溫度分布轉換為任一種封裝型態下的溫度分布。此外,採用矽穿孔(Through Silicon Via, TSV)技術的三維或 2.5 維堆疊晶片系統中,熱與其造成的機械問題較傳統二維晶片嚴重許多。先前研究提出許多矽穿孔擺放方法以減輕操作時的熱點問題、或減少繞線長度。但除上述問題外,我們證明矽穿孔的擺放方式亦會影響晶片破裂發生的機率。本論文第二個研究即根據 Gur Game 提出一種新穎的矽穿孔擺放方法,同時考量晶片製程中可靠度、繞線長度及晶片操作時熱點的影響。
Since packages affect the amount of heat transfer, it is important to include package and heat sink in thermal analysis. In the first work of this thesis, we study the full-chip thermal response with different packages. We first discuss the difficulties of obtaining accurate package models for simulation. To facilitate a designer to perform thermal simulation with different packages, we propose to use a matrix called the package-transfer matrix (PT-matrix), which can transform a temperature profile of one package to another temperature profile of the desired package. To estimate and verify a PT-matrix, we propose an efficient method which uses infrared radiation images from two carefully design test chips with PBGA packages. Furthermore, thermal and thermal-induced mechanical problems in 3D or 2.5D stacking-die technologies using through-silicon-via (TSV) technology are known to be more severe than those in 2D circuits. Many researchers have proposed efficient design for TSV reliability to alleviate the hot spot problem and to reduce the wire-length. However, in addition to the thermal and wire-length issues, we show that TSV reliability can be enhanced by reducing the die fracture. In the second work of the thesis, we propose a novel design methodology based on Gur Game for stacking-IC reliability simultaneously considering die fracture, temperature, and wire-length constraints.
List of Contents VI
List of Figures VII
List of Tables VIII
Chapter 1 INTRODUCTION 1
Chapter 2 PRELIMINARIES 7
2.1 Package-Induced Thermal Profile and Thermal Modeling 7
2.2 Fracture and Fracture Mechanics Modeling 11
Chapter 3 GEOMETRIC-AWARE PACKAGE-CHIP THERMAL ANALYSIS 16
3.1 Problem Description 16
3.2 Efficient Method of Approximating a PT-Matrix 18
3.2.1 The Special Characteristic of a PT-matrix 18
3.2.2 The Approximation of a PT-matrix 22
3.2.3 The Overall PT-matrix Application and Verification 26
Chapter 4 RELIABILITY-AWARE 3DIC DESIGN 28
4.1 Introduction of Gur Game 29
4.2 Thermal and Fracture Aware TSV Placement 31
4.3 The Overall TSV Placement Algorithm 33
Chapter 5 EXPERIMENTAL RESULTS 36
5.1 Geometric-Aware Package-Chip Thermal Analysis 36
5.1.1 Thermal Test Chips and Infrared Radiation Camera 36
5.1.2 PT-Matrix Establishment and Package-Chip Simulation 40
5.2 Thermal ad Fracture Aware TSV Placement 43
Chapter 6 CONCLUSIONS 52
REFERENCES 53
[1] M. Arik, C.A. Becker, S.E. Weaver, and J. Petroski "Thermal Management of LEDs: Package to System", Proc. The International Society for Optical Engineering (SPIE), vol. 5187, pp. 66-75, 2004.
[2] H.F. Bueckner "A Novel Principle for the Computation of Stress Intensity Factors", Zeitschrift fuer Angewandte Mathematik & Mechanik (ZAMM), vol. 50 (9), pp. 529-546, 1970.
[3] J. Con, G. Luo, and Y. Shi "Thermal-Aware Cell and Through-Silicon-Via Co-Placement for 3D ICs", Proc. Design Automation Conference (DAC), pp. 670-675, 2011.
[4] B. Goplen, and S. Sapatnekar "Thermal Via Placement in 3D ICs", Proc. International Symposium on Physical Design (ISPD), pp. 167-174, 2005.
[5] B. Goplen, and S. Sapatnekar "Placement of 3D ICs with Thermal and Interlayer Via Considerations", Proc. Design Automation Conference (DAC), pp. 626-631, 2007.
[6] W. Huang, S. Ghosh, S. Velusamy, K. Sankaranarayanan, K. Skadron, and M.R. Stan "HotSpot: A Compact Thermal Modeling Methodology for Early-Stage VLSI Design", IEEE Transactions on Very Large Scale Integrated (VLSI) Systems, vol. 14 (5), pp. 501-513, 2006.
[7] S. Im, and K. Banerjee "Full chip thermal analysis of planar (2-D) and vertically integrated (3-D) high performance ICs", Proc. International Electron Devices Meeting, (IEDM), pp. 727-730, 2000.
[8] G.R. Irwin "Analysis of Stresses and Strains Near the End of a Crack Traversing a Plate", Journal of Applied Mechanics, vol. 24, pp. 361-364, 1957.
[9] R. Iyer, and L. Kleinrock "Qos Control For Sensor Networks", Proc. International Conference on Communications (ICC), vol. 1, pp. 517-521, 2003.
[10] M. Janickia, G.D. Meyb, and A. Napieralskia "Application of Green's functions for analysis of transient thermal states in electronic circuits", Microlelctronics J., vol. 33, pp. 733-738, 2002.
[11] M. Jung, X. Liu, S.K. Sitaraman, D.Z. Pan, and S.K. Lim "Full-Chip Through-Silison-Via Interfacial Crack Analysis and Optimization for 3D IC", Proc. International Conference of Computer Aided Design (ICCAD), pp. 563-570, 2011.
[12] M. Jung, J. Mitra, D.Z. Pan, and S.K. Lim "TSV stress-aware full-chip mechanical reliability analysis and optimization for 3D IC", Proc. Design Automation Conference (DAC), pp. 188-193, 2011.
[13] S. Kanuparthi, G. Subbarayan, T. Siegmund, and B. Sammakia "An Efficient Network Model for Determining the Effective Thermal Conductivity of Particulate Thermal Interface Materials ", IEEE Transactions on Components and Packaging Technologies, vol. 31 (3), pp. 611-621, 2008.
[14] Z. Li, X. Hong, Q. Zhou, J. Bian, H.H. Yang, and V. Pitchumani "Efficient Thermal-Oriented 3D Floorplanning and Thermal Via Planning for Two-Stacked-Die Integration", ACM Transactions on Design Automation of Electronic Systems (TODAES), vol. 11 (2), pp. 325-345, 2006.
[15] X. Liu, Q. Chen, P. Dixit, R. Chatterjee, R.R. Tummala, and S.K. Sitaraman "Failure Mechanisms and Optimum Design for Electroplated Copper Through-Silicon Vias (TSV)", Proc. Electronic Components and Technology Conference (ECTC), pp. 624-629, 2009.
[16] K.H. Lu, X. Zhang, S.-K. Ryu, J. Im, R. Huang, and P.S. Ho "Thermo-Mechanical Reliability of 3-D ICs containing Through Silicon Vias", Proc. Electronic Components and Technology Conference (ECTC), pp. 630-634, 2009.
[17] T.J. Lu, J.-m. Yang, Z. Suo, A.G. Evans, B. Hecht, and R. Mehrabian "Matrix Cracking in Intermetallic Composites Caused by Thermal Expansion Mismatch", Acta Metallurgica Et Materialia, vol. 39, pp. 1883-1890, 1991.
[18] P.A. Miranda, and A.J. Moll "Thermo-Mechanical Characterization of Copper Through-Wafer Interconnects", Proc. Electronic Components and Technology Conference (ECTC), pp. 1-5, 2006.
[19] N. Ranganathan, K. Prasad, N. Balasubramanian, and K.L. Pey "A study of thermo-mechanical stress and its impact on through-silicon vias", Micromechanics and Microengineering, vol. 18 (7), pp. 075018, 2008.
[20] M. Sunohara, H. Sakaguchi, A. Takano, R. Arai, K. Murayama, and M. Higashi "Studies on Electrical Performance and Thermal Stress of a Silicon Interposer with TSVs", Proc. Electronic Components and Technology Conference (ECTC), pp. 1088-1093, 2010.
[21] M.L. Tsetlin "Finite automata and modeling the simplest forms of behavior", Uspekhi Matem Nauk, vol. 18 (4), pp. 1-27, 1963.
[22] A. Vass-Varnai, R. Bornoff, Z. Sarkany, S. Ress, and M. Rencz "Measurement based compact thermal model creation - accurate approach to neglect inaccurate TIM conductivity data", Proc. Electronics Packaging Technology Conference (EPTC), pp. 67-72, 2011.
[23] X. Wang, S. Farsiu, P. Milanfar, and A. Shakouri "Power Trace: An Efficient Method for Extracting the Power Dissipation Profile in an IC Chip From Its Temperature Map", IEEE Transactions on Components and Packaging Technologies, vol. 32 (2), pp. 309-316, 2009.
[24] E. Wong, and S.K. Lim "3D Floorplanning with Thermal Vias", Proc. Design, Automation, and Test in Europe (DATE), pp. 1-6, 2006.
[25] P.K. Wong, F. Yu, A. Shahangian, G. Cheng, R. Sun, and C.M. Ho "Closed-loop control of cellular functions using combinatory drugs guided by a stoachastic search algorithm", National Academy of Sciences of the USA, vol. 105, pp. 5105-5110, 2008.
[26] B.-J. Yoon "Enhanced stochastic optimization algorithm for finding effective multi-target therapeutics", BMC Bioinformatics, vol. 12 (1), pp. S18, 2011.
[27] Y. Zhan, and S.S. Sapatnekar "Fast computation of the temperature distribution in VLSI chips using the discrete cosine transform and table look-up", Proc. Asia and South Pacific Design Automation Conference (ASP-DAC), pp. 87-92, 2005.
[28] http://opencores.org/
[29] http://www.eda.ncsu.edu/wiki/FreePDK
 
 
 
 
第一頁 上一頁 下一頁 最後一頁 top
* *