帳號:guest(18.117.94.172)          離開系統
字體大小: 字級放大   字級縮小   預設字形  

詳目顯示

以作者查詢圖書館館藏以作者查詢臺灣博碩士論文系統以作者查詢全國書目
作者(中文):黃宗賢
作者(外文):Tsung-Hsien Huang
論文名稱(中文):應用於三維晶片之高延展性三維矽穿孔靜態隨機存取記憶體之低功耗電荷共享傳輸方案
論文名稱(外文):Low Power Charge-Sharing Transfer Scheme for Ultra-scalable TSV-based wide IO 3D SRAM in 3D-IC
指導教授(中文):張孟凡
指導教授(外文):Chang, Meng-Fan
口試委員(中文):洪浩喬
鄭桂忠
口試委員(外文):Hong, Hao-Chiao
Tang, Kea-Tiong (Samuel)
學位類別:碩士
校院名稱:國立清華大學
系所名稱:電機工程學系
學號:100061552
出版年(民國):101
畢業學年度:101
語文別:英文中文
論文頁數:69
中文關鍵詞:矽穿孔三維記憶體
外文關鍵詞:TSV3DSRAMmemory
相關次數:
  • 推薦推薦:0
  • 點閱點閱:994
  • 評分評分:*****
  • 下載下載:10
  • 收藏收藏:0
隨著科技的演進,摩爾定律勢必在近年來走到極限。而三維堆疊的出現是延續摩爾定律的一道曙光。目前三維製程的開發是各研究機關及業界最熱門的話題,三維堆疊有助於系統整合,可以減小電路尺寸以及在電路板上的傳輸損失。目前仍有許多問題需要被解決,如:直通矽穿孔之大負載導致效能不彰、直通矽穿孔過大使得面積效益不佳,以及為了提升傳輸效率而增加頻寬之效能問題等等。因此如何提高TSV之傳輸效率以及設計適合堆疊之架構已經成為了三維製程整合的重要議題。能在多層堆疊下,保有正確的傳輸機制,以及節省傳輸所消耗的能量是需要被探討的。
因此,在論文中我們提出了電荷共享傳輸方案,其中包含了電荷共享傳輸方案及半主從式堆疊架構兩種方案。其中電荷共享傳輸方案能夠節省在高附載傳輸端所消耗的能量,無須再每筆傳輸對矽晶穿孔做充電,可以克服再多數量輸出時造成功耗過大的問題,在多層堆疊的情形下更能夠有效降低傳輸功耗的損失。以及可以適應現今寬輸入輸出之規格。半主從式堆疊結構相較於主從式以及直接堆疊下有許多優點,半主從式結構有著良好的堆疊特性以及擁有可以再打線前完成測試,大幅的增加良率等許多好處。主要的時脈從主層驅動,而由各自的僕層產生自己的時脈,可以因應每層不同的製程變異,增加整體的良率。
我們使用九十奈米互補金氧半技術來驗證我們的想法,完成了一個由六萬四千字元所組成的三維靜態隨機存取記憶體,模擬結果顯示在存取時間上增快了82%,而量測結果顯示在堆疊十六層時較單端輸出有32%的功耗降低。
With the evolution of technology, Moore's Law will go to the limit in recent years. Three-dimensional stack is a good method of the continuation of Moore's Law. The development of three-dimensional process is the most popular topic of research institutions and industry, three-dimensional stack integration can reduce the circuit size and the transmission loss in the circuit board. There are still many problems to be solved and 3D integration is the hottest topic to solve these problems and provide outstanding performance and high density at the same time. But there are many issues surfaced such as the heavy loading of TSV, large TSV pitch and power issue in Wide I/O. Therefore, how to improve the transfer performance and provide efficiency circuit architectures has been an important issue.
In the thesis, we proposed the Charge-Sharing Transfer Scheme to reduce the power consumption of TSV and our circuit does not need pre-charge in every cycle time. We use small swing sensing for reducing large capacitor loading effect. Our circuit can overcome the large power consumption in wide I/O issue. And we proposed the Semi-Master Slave scheme to solve the stacking conditions such like yield, at-speed testing, and die-to-die variation. By our tracking scheme, we can stack our IC in multi-numbers of stacking.
A 64kb 2 layer 3D-SRAM macro has been fabricated in 90nm bulk CMOS technology to verify the idea of this work. Our circuit has 82% access time improved and 32% power reduction, compare to single end transfer scheme.
Contents
摘要 i
Abstract ii
致謝 iii
Contents iv
List of Figures vii
List of Tables x
Acronyms xi
Chapter1 Introduction 1
1.1 Motivation and Application for 3D-SRAM 1
1.2 Emerging Technology: 3D-IC 4
1.2.1 Die/Wafer Assembly 4
1.2.2 Bonding Styles 5
1.3 Thesis Organization 6
Chapter2 Characteristic and Analysis of ITRI 3D IC Process 7
2.1 Introduction to ITRI 3D IC Process 7
2.2 Introduction to different TSV Process 9
2.3 RC Characteristic Analysis for ITRI 3D Process 10
Chapter3 Architecture of 3D SRAM 13
3.1 Novel Architecture for 3D Memory Integrated Application 13
3.1.1 Conventional Direct Stacking and Master-Slave Architecture 14
3.1.2 Semi Master-Slave Architecture 16
3.1.3 3D Multi-Ported SRAM Arrays 17
3.1.4 3D Ultra-high Bandwidth Memory 20
3.1.5 3D System Integration Using Inductive-Coupling Link 22
3.2 Wide I/O Standard Design 25
3.2.1 Wide I/O standard 25
3.2.2 Wide I/O in 3D DRAM 25
Chapter4 Proposed Charge-Sharing Transfer Scheme 27
4.1 Concepts of Proposed Charge Sharing Scheme 27
4.2 Charge-Sharing Transfer Scheme 29
4.3 Self-Timed Tracking Scheme 33
4.4 Clamping circuit 37
Chapter5 Analyses and Comparisons of Charge-sharing Scheme 42
5.1 Speed Comparison of Charge-Sharing, Single End& STDT 42
5.1.1 Transfer Speed Using the Same Driver Size 42
5.2 Power Consumption of Charge sharing scheme 44
5.2.1 Power Consumptions compare to STDT scheme 45
5.2.2 Power Consumptions compare to Traditional Single-ended scheme 48
Chapter6 Macro Implementation 50
6.1 Architecture of Proposed 3D-SRAM 50
6.2 Test Chip Design 55
Chapter7 Experimental Results and Conclusions 57
7.1 Measurement Results 57
7.2 Conclusion of This Thesis 62
7.3 Future work 65
References 66

References
[1] Neil Weste and David Harris, CMOS VLSI Design: A Circuits and Systems Perspective. Pearson Education,2005.
[2] International Technology Road Map for Semiconductors, “More-than-Moore” White Paper, 2010
[3] X-FAB Semiconductor Foundries, What is “More than Moore?” Retrieved June 14, 2011,from http://www.more-than-moore.com/more-than-moore
/what-is-more-than-moore.html
[4] S. Ohbayashi, M. Yabuuchi, K. Nii, Y. Tsukamoto, S. Imaoka, Y. Oda, T. Yoshihara, M. Igarashi, M. Takeuchi, H. Kawashima, Y. Yamaguchi, K. Tsukamoto, M. Inuishi, H. Makino, K. Ishibashi, and H. Shinohara, "A 65-nm SoC Embedded 6T-SRAM Designed for Manufacturability With Read and Write Operation Stabilizing Circuits," IEEE J. Solid-State Circuits, vol. 42, no. 4, pp. 820-829, Apr. 2007.
[5] J. W. Tschanz, S. G. Narendra, Y. Ye, B. A. Bloechel, S. Borkar, and V. De, " Dynamic Sleep Transistor and Body Bias for Active Leakage Power Control of Microprocessors," IEEE J. Solid-State Circuits, vol. 38, no. 11, pp. 1838-1845, Nov. 2003.
[6] K. Nii, Y. Tsukamoto, T. Yoshizawa, S. Imaoka, Y. Yamagami, T. Suzuki, A. Shibayama, H. Makino, and S. Iwade, "A 90-nm Low-Power 32-kB Embedded SRAM With Gate Leakage Suppression Circuit for Mobile Applications," IEEE J. Solid-State Circuits, vol. 39, no. 4, pp. 684-693, Apr. 2004.
[7] S. Rusu, S. Tam, H. Muljono, D. Ayers, J. Chang, B. Cherkauer, J. Stinson, J. Benoit, R. Varada, J. Leung, R. D. Limaye, and S. Vora, "A 65-nm Dual-Core Multithreaded Xeon® Processor," IEEE J. Solid-State Circuits, vol. 42, no. 1, pp. 17-25, Jan. 2007.
[8] M. Yamaoka, Y. Shinozaki, N. Maeda, Y. Shimazaki, K. Kato, S. Shimada, K. Yanagisawa, and K. Osada, "A 300-MHz 25-μA/Mb-leakage on-chip SRAM module featuring process-variation immunity and low-leakage-active mode for mobile-phone application processor," IEEE J. Solid-State Circuits, vol. 40, no. 1, pp. 186-194, Jan. 2005.
[9] Y. Wang, H. J. Ahn, U. Bhattacharya, Z. Chen, T. Coan, F. Hamzaoglu, W. M. Hafez, C. H. Jan, P. Kolar, S. H. Kulkarni, J. F. Lin, Y. G. Ng, I. Post, L. Wei, Y. Zhang, K. Zhang, and M. Bohr, "A 1.1 GHz 12μA/Mb-leakage SRAM design in 65nm ultra-low-power CMOS technology with integrated leakage reduction for mobile application," IEEE J. Solid-State Circuits, vol. 43, no. 1, pp. 172-179, Jan. 2008.
[10] S. J. Lee, K. C. Park, Y. H. Kim, Y. K. Hong, Y. You, K. R. Cho, T. W. Cho, and K. Eshraghian, "3D data compression and encryption for bio-medical health care monitoring and management system," in BioCAS Dig. Tech. Papers, pp. 161-164, Nov. 2009.
[11] S. Cosemans, W. Dehaene, and F. Catthoor, "A low power embedded SRAM for wireless applications," IEEE J. Solid-State Circuits, vol. 42, no. 7, pp. 1607-1617, Jul. 2007.
[12] International Technology Road Map for Semiconductors, 2005 edition.
[13] L. Jiang, Q. Xu, K.Chakrabarty, T.M. Mak, "Layout-driven test-architecture design and optimization for 3D SoCs under pre-bond test-pin-count constraint", in: IEEE International Conference on Computer-Aided Design, 2009, p. 191–196.
[14] W. C. Lo, S. M. Chang, Y. H. Chen, J. D. Ko, T. Y. Kuo, H. H. Chang, and Y. C. Shih, "3D chip-to-chip stacking with through silicon interconnects, " Symposium on VLSI-TSA, pp. 1-2, Apr. 2007.
[15] G. H. Loh, Y. Xie, and B. Black., "Processor Design in 3D Die-Stacking Technologies," IEEE Micro, 27(3):31–48, 2007.
[16] T. Fukushima, et al., "New Three-Dimensional Integration Technology Using Chip-to-Wafer Bonding to Achieve Ultimate Super-Chip Integration," Japanese Journal of Applied Physics, 45(4B):3030, 2006.
[17] Y. Xie, et al., "Design Space Exploration for 3D Architectures, " ACM Journal on Emerging Technologies in Computing Systems (JETC), 2(2):65–103, 2006.
[18] A. Zia, et al., "A 3-tier, 3-D FD-SOI SRAM macro," IEEE ICICDT, pp. 277-280, May 2008
[19] Lewis, D.L. et al, "A ScanIsland Based Design Enabling Prebond Testability in DieStacked Microprocessors, " in proc. IEEE International Test Conference (ITC), 2007, pp. 1-8
[20] Yole Development, 3D IC Report, 2007
[21] Steve Lassig, Manufacturing integration considerations of through-silicon via etching. Retrieved June 15, 2011, from http://www.electroiq.com/index/
display/semiconductors-article-display/313369/articles/solid-state-technology/
volume-50/issue-12/features/cu-low-k/
manufacturing-integration-considerations-of-through-silicon-via-etching.html
[22] 李思翰、賴信吉、許世玄、黃尊禧(民99年11月)。利用3DIC 矽穿孔製程技術開發積體電感元件之研究。電光先鋒,15,58-66。
[23] Yuh-Fang Tsai, Feng Wang, Yuan Xie, Vijaykrishnan, N. Irwin, M.J., "Design Space Exploration for 3-D Cache," IEEE Trans. Very Large Scale Integr. Syst., vol.16, no.4, pp.444-455, April 2008
[24] H. H. Nho, M. Horowitz, and S. S. Wong, "A High-speed, Low-power 3D-SRAM Architecture," in IEEE CICC, 2008.
[25] Uksong Kang et al. "8Gb 3D DDR3 DRAM Using Through- Silicon-Via Technology, " Proc. IEEE Int. Solid-State Circuits Conf., pp.130 - 131 , 2009.
[26] U. Kang, et al., "8 Gb 3-D DDR3 DRAM Using Through- Silicon-Via Technology," IEEE J. Solid-State Circuits, Vol.45, No.1, pp. 111-119, Jan. 2010.
[27] K. Puttaswamy, and G. H. Loh, "3D integrated SRAM components for high-performance microprocessors," IEEE Trans. Computers, vol. 58, no. 10, pp. 1369-1381, Oct. 2009.
[28] Abe, K. Tendulkar, M.P. Jameson, J.R. Griffin, P.B. Nomura, K. Fujita, S. Nishi, Y., "Ultra-high bandwidth memory with 3D-stacked emerging memory cells," Integrated Circuit Design and Technology and Tutorial, 2008. ICICDT 2008. IEEE International Conference on , vol., no., pp.203-206, 2-4 June 2008
[29] Osada, Kenichi, et al., "3D system integration of processor and multi-stacked SRAMs by using inductive-coupling links," in Symp. VLSI Circuit, vol., no., pp.256-257, 16-18 June 2009
[30] Saen, M., Osada, K., et al., "3-D System Integration of Processor and Multi-Stacked SRAMs Using Inductive-Coupling Link," IEEE J. Solid-State Circuits, vol.45, no.4, pp.856-862, April 2010.
[31] J.S. Kim, C.S. Oh, et al., "A 1.2V 12.8GB/s 2Gb Mobile Wide-I/O DRAM with 4x128 I/Os Using TSV-Based Stacking," Int. Solid-State Circuits Conf., pp. 496 - 498 ,Feb. 2011.
[32] H. Yamauchi, "Variation-Tolerant SRAM Circuit Designs," ISSCC Tutorial 2009.
[33] M.-F. Chang, S.-M. Yang, and K.-T. Chen, "Wide-VDD embedded asynchronous SRAM with dual-mode self-timed technique for dynamic voltage systems," IEEE Trans. Circuits and Syst. I, vol. 56, no. 8, pp. 1657-1667, Aug. 2009
[34] K. Osada, S. Jinuk Luke, M. Khan, Y. Liou, K. Wang, K. Shoji, K. Kuroda, S. Ikeda, and K. Ishibashi, "Universal-Vdd 0.65-2.0-V 32-kB cache using a voltage-adapted timing-generation scheme and a lithographically symmetrical cell," IEEE J. Solid-State Circuits, vol. 36, no. 11, pp. 1738-1744, Nov. 2001
[35] H. Nambu, K. Kanetani, K. Yamasaki, K. Higeta, M. Usami, Y. Fujimura, K. Ando, T. Kusunoki, K. Yamaguchi, and N. Homma, "A 1.8-ns access, 550-MHz, 4.5-Mb CMOS SRAM," IEEE J. Solid-State Circuits, vol. 33, no. 11, pp. 1208-1219, Nov. 1998.
[36] B. S. Amrutur and M. A. Horowitz, "A replica technique for wordline and sense control in low-power SRAM's," IEEE J. Solid-State Circuits, vol. 33, no. 8, pp. 1208-1219, Aug. 1998.

[37] Meng-Fan Chang, Wei-Cheng Wu, Chih-Sheng Lin et al., " A Larger Stacked Layer Number Scalable TSV-based 3D-SRAM for High-Performance Universal-Memory-Capacity 3D-IC Platforms," in Symp. VLSI Circuit, vol., no., pp.74-75, 13-17 June 2011
[38] K. Takeuchi, T. Fukai, T. Tsunomura, A. T. Purta, A. Nishida, S. Kamohara, and T. Hiramoto, "Understanding Random Threshold Voltage Fluctuation by Comparing Multiple Fabs and Technologies," in IEDM Dig. Tech. Papers, pp. 467-470, Dec. 2007
[39] B. Wicht, T. Nirschl, D. Schmitt-Landsiedel, “Yield and speed optimization of a latch-type voltage sense amplifier,” IEEE J. Solid-State Circuits, vol. 39, no 7, pp. 1148-1158, July 2004.
[40] J. David Irwin, A Brief Introduction to Circuit Analysis. Wiley, 2003.
[41] David E. Johnson, Electric Circuits Analysis 3rd Edition. Wiley, 1997.
[42] Verma, N., et al., "A High-Density 45nm SRAM Using Small-Signal Non-Strobed Regenerative Sensing," Proc. IEEE Int. Solid-State Circuits Conf., pp.380-621, 3-7 Feb. 2008
[43] Chandrakasan, et al., "High density 45 nm SRAM using small-signal non-strobed regenerative sensing," US:7746713 B2, June, 29, 2010
[44] Yong-Cheol Bae, Joon-Young Park et al., “A 1.2V 30nm 1.6Gb/s/pin 4Gb LPDDR3 SDRAM with Input Skew Calibration and Enhanced Control Scheme,” Int. Solid-State Circuits Conf., pp. 44-46, FEB. 2012.
[45] Joe Jeddeloh, Brent Keeth, “Hybrid Memory Cube New DRAM Architecture Increases Density and Performance,” in Symp. VLSI Technology, vol., no., pp.87-88, 2012
[46] Yong Liu, Wing Luk, “A Compact Low-Power 3D I/O in 45nm CMOS,” Int. Solid-State Circuits Conf., pp. 142-144, FEB. 2012.
[47] Matt Wordeman, Joel Silberman, “A 3D System Prototype of an eDRAM Cache Stacked Over Processor-Like Logic Using Through-Silicon Vias,” Int. Solid-State Circuits Conf., pp. 186-187, FEB. 2012.
 
 
 
 
第一頁 上一頁 下一頁 最後一頁 top
* *