|
References [1] Neil Weste and David Harris, CMOS VLSI Design: A Circuits and Systems Perspective. Pearson Education,2005. [2] International Technology Road Map for Semiconductors, “More-than-Moore” White Paper, 2010 [3] X-FAB Semiconductor Foundries, What is “More than Moore?” Retrieved June 14, 2011,from http://www.more-than-moore.com/more-than-moore /what-is-more-than-moore.html [4] S. Ohbayashi, M. Yabuuchi, K. Nii, Y. Tsukamoto, S. Imaoka, Y. Oda, T. Yoshihara, M. Igarashi, M. Takeuchi, H. Kawashima, Y. Yamaguchi, K. Tsukamoto, M. Inuishi, H. Makino, K. Ishibashi, and H. Shinohara, "A 65-nm SoC Embedded 6T-SRAM Designed for Manufacturability With Read and Write Operation Stabilizing Circuits," IEEE J. Solid-State Circuits, vol. 42, no. 4, pp. 820-829, Apr. 2007. [5] J. W. Tschanz, S. G. Narendra, Y. Ye, B. A. Bloechel, S. Borkar, and V. De, " Dynamic Sleep Transistor and Body Bias for Active Leakage Power Control of Microprocessors," IEEE J. Solid-State Circuits, vol. 38, no. 11, pp. 1838-1845, Nov. 2003. [6] K. Nii, Y. Tsukamoto, T. Yoshizawa, S. Imaoka, Y. Yamagami, T. Suzuki, A. Shibayama, H. Makino, and S. Iwade, "A 90-nm Low-Power 32-kB Embedded SRAM With Gate Leakage Suppression Circuit for Mobile Applications," IEEE J. Solid-State Circuits, vol. 39, no. 4, pp. 684-693, Apr. 2004. [7] S. Rusu, S. Tam, H. Muljono, D. Ayers, J. Chang, B. Cherkauer, J. Stinson, J. Benoit, R. Varada, J. Leung, R. D. Limaye, and S. Vora, "A 65-nm Dual-Core Multithreaded Xeon® Processor," IEEE J. Solid-State Circuits, vol. 42, no. 1, pp. 17-25, Jan. 2007. [8] M. Yamaoka, Y. Shinozaki, N. Maeda, Y. Shimazaki, K. Kato, S. Shimada, K. Yanagisawa, and K. Osada, "A 300-MHz 25-μA/Mb-leakage on-chip SRAM module featuring process-variation immunity and low-leakage-active mode for mobile-phone application processor," IEEE J. Solid-State Circuits, vol. 40, no. 1, pp. 186-194, Jan. 2005. [9] Y. Wang, H. J. Ahn, U. Bhattacharya, Z. Chen, T. Coan, F. Hamzaoglu, W. M. Hafez, C. H. Jan, P. Kolar, S. H. Kulkarni, J. F. Lin, Y. G. Ng, I. Post, L. Wei, Y. Zhang, K. Zhang, and M. Bohr, "A 1.1 GHz 12μA/Mb-leakage SRAM design in 65nm ultra-low-power CMOS technology with integrated leakage reduction for mobile application," IEEE J. Solid-State Circuits, vol. 43, no. 1, pp. 172-179, Jan. 2008. [10] S. J. Lee, K. C. Park, Y. H. Kim, Y. K. Hong, Y. You, K. R. Cho, T. W. Cho, and K. Eshraghian, "3D data compression and encryption for bio-medical health care monitoring and management system," in BioCAS Dig. Tech. Papers, pp. 161-164, Nov. 2009. [11] S. Cosemans, W. Dehaene, and F. Catthoor, "A low power embedded SRAM for wireless applications," IEEE J. Solid-State Circuits, vol. 42, no. 7, pp. 1607-1617, Jul. 2007. [12] International Technology Road Map for Semiconductors, 2005 edition. [13] L. Jiang, Q. Xu, K.Chakrabarty, T.M. Mak, "Layout-driven test-architecture design and optimization for 3D SoCs under pre-bond test-pin-count constraint", in: IEEE International Conference on Computer-Aided Design, 2009, p. 191–196. [14] W. C. Lo, S. M. Chang, Y. H. Chen, J. D. Ko, T. Y. Kuo, H. H. Chang, and Y. C. Shih, "3D chip-to-chip stacking with through silicon interconnects, " Symposium on VLSI-TSA, pp. 1-2, Apr. 2007. [15] G. H. Loh, Y. Xie, and B. Black., "Processor Design in 3D Die-Stacking Technologies," IEEE Micro, 27(3):31–48, 2007. [16] T. Fukushima, et al., "New Three-Dimensional Integration Technology Using Chip-to-Wafer Bonding to Achieve Ultimate Super-Chip Integration," Japanese Journal of Applied Physics, 45(4B):3030, 2006. [17] Y. Xie, et al., "Design Space Exploration for 3D Architectures, " ACM Journal on Emerging Technologies in Computing Systems (JETC), 2(2):65–103, 2006. [18] A. Zia, et al., "A 3-tier, 3-D FD-SOI SRAM macro," IEEE ICICDT, pp. 277-280, May 2008 [19] Lewis, D.L. et al, "A ScanIsland Based Design Enabling Prebond Testability in DieStacked Microprocessors, " in proc. IEEE International Test Conference (ITC), 2007, pp. 1-8 [20] Yole Development, 3D IC Report, 2007 [21] Steve Lassig, Manufacturing integration considerations of through-silicon via etching. Retrieved June 15, 2011, from http://www.electroiq.com/index/ display/semiconductors-article-display/313369/articles/solid-state-technology/ volume-50/issue-12/features/cu-low-k/ manufacturing-integration-considerations-of-through-silicon-via-etching.html [22] 李思翰、賴信吉、許世玄、黃尊禧(民99年11月)。利用3DIC 矽穿孔製程技術開發積體電感元件之研究。電光先鋒,15,58-66。 [23] Yuh-Fang Tsai, Feng Wang, Yuan Xie, Vijaykrishnan, N. Irwin, M.J., "Design Space Exploration for 3-D Cache," IEEE Trans. Very Large Scale Integr. Syst., vol.16, no.4, pp.444-455, April 2008 [24] H. H. Nho, M. Horowitz, and S. S. Wong, "A High-speed, Low-power 3D-SRAM Architecture," in IEEE CICC, 2008. [25] Uksong Kang et al. "8Gb 3D DDR3 DRAM Using Through- Silicon-Via Technology, " Proc. IEEE Int. Solid-State Circuits Conf., pp.130 - 131 , 2009. [26] U. Kang, et al., "8 Gb 3-D DDR3 DRAM Using Through- Silicon-Via Technology," IEEE J. Solid-State Circuits, Vol.45, No.1, pp. 111-119, Jan. 2010. [27] K. Puttaswamy, and G. H. Loh, "3D integrated SRAM components for high-performance microprocessors," IEEE Trans. Computers, vol. 58, no. 10, pp. 1369-1381, Oct. 2009. [28] Abe, K. Tendulkar, M.P. Jameson, J.R. Griffin, P.B. Nomura, K. Fujita, S. Nishi, Y., "Ultra-high bandwidth memory with 3D-stacked emerging memory cells," Integrated Circuit Design and Technology and Tutorial, 2008. ICICDT 2008. IEEE International Conference on , vol., no., pp.203-206, 2-4 June 2008 [29] Osada, Kenichi, et al., "3D system integration of processor and multi-stacked SRAMs by using inductive-coupling links," in Symp. VLSI Circuit, vol., no., pp.256-257, 16-18 June 2009 [30] Saen, M., Osada, K., et al., "3-D System Integration of Processor and Multi-Stacked SRAMs Using Inductive-Coupling Link," IEEE J. Solid-State Circuits, vol.45, no.4, pp.856-862, April 2010. [31] J.S. Kim, C.S. Oh, et al., "A 1.2V 12.8GB/s 2Gb Mobile Wide-I/O DRAM with 4x128 I/Os Using TSV-Based Stacking," Int. Solid-State Circuits Conf., pp. 496 - 498 ,Feb. 2011. [32] H. Yamauchi, "Variation-Tolerant SRAM Circuit Designs," ISSCC Tutorial 2009. [33] M.-F. Chang, S.-M. Yang, and K.-T. Chen, "Wide-VDD embedded asynchronous SRAM with dual-mode self-timed technique for dynamic voltage systems," IEEE Trans. Circuits and Syst. I, vol. 56, no. 8, pp. 1657-1667, Aug. 2009 [34] K. Osada, S. Jinuk Luke, M. Khan, Y. Liou, K. Wang, K. Shoji, K. Kuroda, S. Ikeda, and K. Ishibashi, "Universal-Vdd 0.65-2.0-V 32-kB cache using a voltage-adapted timing-generation scheme and a lithographically symmetrical cell," IEEE J. Solid-State Circuits, vol. 36, no. 11, pp. 1738-1744, Nov. 2001 [35] H. Nambu, K. Kanetani, K. Yamasaki, K. Higeta, M. Usami, Y. Fujimura, K. Ando, T. Kusunoki, K. Yamaguchi, and N. Homma, "A 1.8-ns access, 550-MHz, 4.5-Mb CMOS SRAM," IEEE J. Solid-State Circuits, vol. 33, no. 11, pp. 1208-1219, Nov. 1998. [36] B. S. Amrutur and M. A. Horowitz, "A replica technique for wordline and sense control in low-power SRAM's," IEEE J. Solid-State Circuits, vol. 33, no. 8, pp. 1208-1219, Aug. 1998.
[37] Meng-Fan Chang, Wei-Cheng Wu, Chih-Sheng Lin et al., " A Larger Stacked Layer Number Scalable TSV-based 3D-SRAM for High-Performance Universal-Memory-Capacity 3D-IC Platforms," in Symp. VLSI Circuit, vol., no., pp.74-75, 13-17 June 2011 [38] K. Takeuchi, T. Fukai, T. Tsunomura, A. T. Purta, A. Nishida, S. Kamohara, and T. Hiramoto, "Understanding Random Threshold Voltage Fluctuation by Comparing Multiple Fabs and Technologies," in IEDM Dig. Tech. Papers, pp. 467-470, Dec. 2007 [39] B. Wicht, T. Nirschl, D. Schmitt-Landsiedel, “Yield and speed optimization of a latch-type voltage sense amplifier,” IEEE J. Solid-State Circuits, vol. 39, no 7, pp. 1148-1158, July 2004. [40] J. David Irwin, A Brief Introduction to Circuit Analysis. Wiley, 2003. [41] David E. Johnson, Electric Circuits Analysis 3rd Edition. Wiley, 1997. [42] Verma, N., et al., "A High-Density 45nm SRAM Using Small-Signal Non-Strobed Regenerative Sensing," Proc. IEEE Int. Solid-State Circuits Conf., pp.380-621, 3-7 Feb. 2008 [43] Chandrakasan, et al., "High density 45 nm SRAM using small-signal non-strobed regenerative sensing," US:7746713 B2, June, 29, 2010 [44] Yong-Cheol Bae, Joon-Young Park et al., “A 1.2V 30nm 1.6Gb/s/pin 4Gb LPDDR3 SDRAM with Input Skew Calibration and Enhanced Control Scheme,” Int. Solid-State Circuits Conf., pp. 44-46, FEB. 2012. [45] Joe Jeddeloh, Brent Keeth, “Hybrid Memory Cube New DRAM Architecture Increases Density and Performance,” in Symp. VLSI Technology, vol., no., pp.87-88, 2012 [46] Yong Liu, Wing Luk, “A Compact Low-Power 3D I/O in 45nm CMOS,” Int. Solid-State Circuits Conf., pp. 142-144, FEB. 2012. [47] Matt Wordeman, Joel Silberman, “A 3D System Prototype of an eDRAM Cache Stacked Over Processor-Like Logic Using Through-Silicon Vias,” Int. Solid-State Circuits Conf., pp. 186-187, FEB. 2012.
|