帳號:guest(18.224.44.168)          離開系統
字體大小: 字級放大   字級縮小   預設字形  

詳目顯示

以作者查詢圖書館館藏以作者查詢臺灣博碩士論文系統以作者查詢全國書目
作者(中文):莊淨皓
作者(外文):Chuang, Ching-Hao
論文名稱(中文):可快速開機常關型應用之非揮發性三元內容循址記憶體
論文名稱(外文):A Nonvolatile TCAM for Normally-off Instant-on Application
指導教授(中文):張孟凡
指導教授(外文):Chang, Meng-Fan
口試委員(中文):邱瀝毅
洪浩喬
口試委員(外文):Chiou, Lih-Yih
Hong, Hao-Chiao
學位類別:碩士
校院名稱:國立清華大學
系所名稱:電機工程學系
學號:100061550
出版年(民國):104
畢業學年度:103
語文別:中文
論文頁數:52
中文關鍵詞:三元內容循址記憶體非揮發性
外文關鍵詞:TCAMNonvolatile
相關次數:
  • 推薦推薦:0
  • 點閱點閱:599
  • 評分評分:*****
  • 下載下載:14
  • 收藏收藏:0
近年可攜帶之智慧型電子產品之流行與普及為系統設計帶來新的問題。在有限的電池能量供應之下必須同時達到省電以及維持系統之高效率操作。傳統方法所使用之雙巨集(2-MACRO)架構雖可以低成本達到系統的工作需求,但面臨有限的電池供應,雙巨集架構在系統待機的時候必須花費大量能量與時間保存資料。而促使單巨集(1-MACRO)架構之出現。
利用新式非揮發性記憶體的低功耗,快速,可與邏輯製程相容之特性,本篇論文提出了一種新式的5T2R三元內容循址記憶體細胞設計。使用電阻式記憶體元件代替靜態隨機存取記憶體細胞(SRAM)作為儲存元件,大大減少三元內容循址記憶體細胞之面積,同時擁有待機狀態零漏電電流(leakage current)的特色,更加降低功耗。所提出之5T2R三元內容循址記憶體細胞利用細胞內小電流讀取電阻式記憶體之資料,以決定相符線(match-line)之放電與否。與國際上已發表之作品比較,搜尋速度以及可適應之電阻式記憶體元件之電阻值範圍都各有改善。
此篇論文展示了新式的5T2R三元內容循址記憶體細胞設計。在正常工作電壓時可達到1.6ns之access time。在大範圍的電阻值比之下皆能適應並正常進行搜尋操作,並不因此拖慢搜尋速度。而其L型細胞佈局圖可完成高密度之三元內容循址記憶體細胞陣列。
Recently, mobile and intelligent electronic devices have spread everywhere, but this has brought new issues to system design. We have to achieve low power and high system performance in a battery-limited condition. The conventional 2-MACRO architecture can reach the performance demand with a low cost; but for systems with limited battery-power, the 2-MACRO architecture may consume large power and time to store the data. The single-MACRO architecture has been developed to solve this issue.
Emerging non-volatile memory devices have low-power, high speed and are compatible with logic processes. Due to these merits, we have proposed a new 5T2R non-volatile ternary content addressable memory cell (5T2R nvTCAM cell) using this device. Replacing SRAM cell with a ReRAM device has greatly decreased the layout area of TCAM cell. Since there is zero leakage current in stand-by mode, the power consumption is further decreased. The proposed 5T2R nvTCAM cell reads the data stored in the ReRAM with a small current, and then decides whether the match-line needs to be discharged according to the compared result. Compared with previous works, the proposed 5T2R nvTCAM cell has improved the search speed and tolerable resistance ratio.
In summary, we have proposed a 5T2R nvTCAM cell design. Its access time is 1.6ns with a supply of 1V VDD. It can successfully perform search operation under a wide range of resistance ratios, and maintain a fair
8
search speed under different conditions. The special L-shaped cell layout can accomplish a high density nvTCAM cell array
摘要 6
Abstract 7
圖片清單 8
表格清單 10
Chapter 1. 簡介 11
1.1 近代之低功耗系統需求 11
1.2 低功耗應用之新興非揮發性記憶體 12
1.3 三元內容循址記憶體以及其應用 13
Chapter 2. 設計目標 15
2.1 降低搜尋能量消耗 15
2.1.1 相符線能量消耗 15
2.1.2 細胞內能量消耗 16
2.2 快速搜尋操作 16
2.3 消除待機漏電電流 16
2.4 國際已發表之作品 17
Chapter 3. 所提出之5T2R非揮發性三元內容循址記憶體細胞 23
3.1 電阻式隨機存取記憶體 23
3.1.1電阻式隨機存取記憶體之結構 23
3.1.2 轉態機制 24
3.1.3 2T1R之寫入架構的設計挑戰 25
3.1.4 電阻式隨機存取記憶體之讀取擾動 25
3.2 所提出之5T2R非揮發性三元內容循址記憶體細胞架構 26
3.3 所提出之5T2R非揮發性三元內容循址記憶體細胞寫入操作 26
3.4 所提出之5T2R非揮發性三元內容循址記憶體細胞搜尋操作 28
3.4.1電晶體MML之尺寸 30
Chapter 4. 分析與比較 31
4.1 5T2R細胞之電晶體Mrd之尺寸分析 31
4.2 搜尋操作之相符線放電時間分析 32
4.3 搜尋功耗分析 37
4.4 比較表格 39
Chapter 5. 晶片實作 40
5.1 細胞佈局圖 40
5.1.1 L型細胞佈局圖 40
5.1.2 細胞面積比較 40
5.2 巨集完整電路架構 42
5.3 晶片資料總結表格 43
5.4 晶片空照圖 43
Chapter 6. 實驗結果與結論 44
6.1 測試晶片之量測方式 44
6.2 搜尋量測波形圖 45
6.3 結論 48
Chapter 7. 參考文獻 49
[1] K. Pagiamtzis and A. Sheikholeslami , " Content-addressable memory (CAM) circuits and architectures: a tutorial and survey ", IEEE J. Solid-State Circuits (JSSC) , pp. 712 - 727 , Mar. 2006
[2] H. Noda, K. Inoue, M. Kuroiwa, A. Amo, A. Hachisuka et al. , " A 143MHz 1.1W 4.5Mb dynamic TCAM with hierarchical searching and shift redundancy architecture ", in IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 208 - 523 , 2004
[3] S. Matsunaga, A. Katsumata, M. Natsui, S. Fukami, T. Endoh et al. , " Fully Parallel 6T-2MTJ Nonvolatile TCAM with single-transistor-based self match-line discharge control ", in IEEE Symposium on VLSI Circuits (VLSIC) Dig. Tech. Papers, pp. 298 - 299 , 2011
[4] S. Matsunaga, S. Miura, H. Honjou, K. Kinoshita, S. Ikeda et al. , " A 3.14 um2 4T2MTJ-cell fully parallel TCAM based on nonvolatile logic-in-memory Architecture ", in IEEE Symposium on VLSI Circuits (VLSIC) Dig. Tech. Papers, pp. 44 - 45 , 2012
[5] J. Li, R. K. Montoye, M. Ishii, and L. Chang , " 1 Mb 0.41 µm² 2T-2R Cell Nonvolatile TCAM with two-bit encoding and clocked self-referenced sensing ", IEEE J. Solid-State Circuits (JSSC) , pp. 896 - 907 , Apr. 2014
[6] L.-Y. Huang, M.-F. Chang, C.-H. Chuang, C.-C. Kuo, C.-F. Chen et al. , " ReRAM-based 4T2R nonvolatile TCAM with 7x NVM-stress reduction, and 4x improvement in speed-wordlength-capacity for normally-off instant-on filter-based search engines used in big-data processing ", in IEEE Symposium on VLSI Circuits (VLSIC) Dig. Tech. Papers, pp. 1 - 2 , 2014
[7] M. Helm, et.al. “A 128Gb MLC NAND-Flash device using 16nm planar cell”, in IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 326-327, 2014
[8] K.-T. Park, et.al. “Three- Dimensional 128Gb MLC Vertical NAND Flash Memory with 24-WL Stacked Layers and 50MB/s High-Speed Programming”, in IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 334-335, 2014
[9] S. Choi, et.al. “A 93.4mm2 64Gb MLC NAND-Flash Memory with 16nm CMOS Technology”, in IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 328-329, 2014
[10] J. T. Evans and R. Womack, " An experimental 512-bit nonvolatile memory with ferroelectric storage cell ", IEEE J. Solid-State Circuits (JSSC) , pp. 1171 - 1175 , Oct. 1988
[11] C.-Y. Wen, J. Li, S. Kim, M. Breitwisch, C. Lam, J. Paramesh, and L.T. Pileggi, “A non-volatile look-up table design using PCM (phase-change memory) cells”, in IEEE Symposium on VLSI Circuits (VLSIC) Dig. Tech. Papers, pp. 302-303, 2011
[12] M. Rizzi, et.al. “Statistics of set transition in phase change memory (PCM) arrays”, in IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers, pp. 29.6.1-29.6.4, 2014
[13] H. Pozidis, et.al.” Reliable MLC Data Storage and Retention in Phase-Change Memory After Endurance Cycling”, in IEEE International Memory Workshop(IMW), pp. 100-103, 2013
[14] M. Boniardi, et.al. “Optimization Metrics for Phase Change Memory (PCM) Cell Architectures”, in IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers, pp. 29.1.1-29.1.4, 2014
[15] F. Bedeschi, R. Fackenthal, C. Resta, E. Michele Donzè, M. Jagasivamani et al. , " A Bipolar-Selected Phase Change Memory Featuring Multi-Level Cell Storage ", IEEE J. Solid-State Circuits (JSSC) , pp. 217 - 227 , Jan. 2009
[16] M. Durlam, P. Naji, M. DeHerrera, S. Tehrani , G. Kerszykowski, K. Kyler , " Nonvolatile RAM based on magnetic tunnel junction elements ", in IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 130 - 131 , 2000
[17] W.J. Kim, et.al. “Extended scalability of perpendicular STT-MRAM towards sub-20nm MTJ node”, in IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers, pp. 24.1.1-24.1.4, 2011
[18] E. Kitagawa, et.al. “Impact of ultra-low power and fast write operation of advanced perpendicular MTJ on power reduction for high-performance mobile CPU”, in IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers, pp. 29.4.1-29.4.4, 2012
[19] Y.-H. Wang, et.al. “Impact of Stray Field on the Switching Properties of Perpendicular MTJ for Scaled MRAM”, in IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers, pp. 29.2.1-29.2.4, 2012
[20] K. Tsunoda, et.al. “Highly Manufacturable Multi-Level Perpendicular MTJ with a Single Top-Pinned Layer and Multiple Barrier/Free Layers”, in IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers, pp. 3.3.1-3.3.4, 2013
[21] S.-S. Sheu, P.-C. Chiang, W.-P. Lin, H.-Y. Lee, P.-S. Chen et al. , " A 5ns fast write multi-level non-volatile 1 K bits RRAM memory with advance write scheme ", in IEEE Symposium on VLSI Circuits (VLSIC) Dig. Tech. Papers, pp. 82 - 83 , 2009
[22] H.-Y. Lee, Y.-S. Chen, P.-S. Chen, P.-Y. Gu, Y.-Y. Hsu et al., “Comprehensively study of read disturb immunity and optimal read scheme for high speed HfOx based RRAM with a Ti layer,” IEEE International Symposium on VLSI Technology Systems and Applications (VLSI-TSA), pp. 132-133, Apr. 2010
[23] X. Xue, et.al. “A 0.13 µm 8 Mb Logic-Based Cu Si O ReRAM With Self-Adaptive Operation for Yield Enhancement and Power Reduction”, IEEE J. Solid-State Circuits (JSSC), pp. 1315-1322, May. 2013
[24] M.-F. Chang, et.al. “A High-Speed 7.2-ns Read-Write Random Access 4-Mb Embedded Resistive RAM (ReRAM) Macro Using Process-Variation- Tolerant Current-Mode Read Schemes”, IEEE J. Solid-State Circuits (JSSC), pp. 878-891, Mar. 2013
[25] S. Koveshnikov, et.al." Real-time study of switching kinetics in integrated 1T/ HfOx1R RRAM: Intrinsic tunability of set/reset voltage and trade-off with switching time", in IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers, pp. 20.4.1- 20.4.3, 2012
[26] L. Zhang, et.al." High-Drive Current (>1MA/cm2) and Highly Nonlinear (>103) TiN/Amorphous-Silicon/TiN Scalable Bidirectional Selector with Excellent Reliability and Its Variability Impact on the 1S1R Array Performance ", in IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers, pp. 6.8.1- 6.8.4, 2014
[27] Y. Sakotsubo, et.al.," A new approach for improving operating margin of unipolar ReRAM using local minimum of reset voltage ", in IEEE Symposium on VLSI Technology (VLSIT) Dig. Tech. Papers, pp. 87- 88 , 2010
[28] S. H. Jo, T. Kumar, S. Narayanan, W. D. Lu, and H. Nazarian, " 3D-stackable Crossbar Resistive Memory Based on Field Assisted Superlinear Threshold (FAST) Selector ", in IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers, pp. 6.7.1- 6.7.4, 2014
[29] J. J. Yang, et.al. “Engineering nonlinearity into memristors for passive crossbar applications”, Applied Physics Letters (APL), 2012, Lett. 100
 
 
 
 
第一頁 上一頁 下一頁 最後一頁 top
* *