帳號:guest(3.140.198.225)          離開系統
字體大小: 字級放大   字級縮小   預設字形  

詳目顯示

以作者查詢圖書館館藏以作者查詢臺灣博碩士論文系統以作者查詢全國書目
作者(中文):劉冠呈
論文名稱(中文):反轉式及無接面式雙電晶體非揮發性記憶體之研究
論文名稱(外文):Study of Inversion mode and Junctionless Twin Thin-Film-Transistor Nonvolatile Memory
指導教授(中文):吳永俊
口試委員(中文):李耀仁
林育賢
學位類別:碩士
校院名稱:國立清華大學
系所名稱:工程與系統科學系
學號:100011540
出版年(民國):102
畢業學年度:101
語文別:英文
論文頁數:58
中文關鍵詞:電晶體非揮發性記憶體無接面
相關次數:
  • 推薦推薦:0
  • 點閱點閱:67
  • 評分評分:*****
  • 下載下載:2
  • 收藏收藏:0
本研究提出的n-通道和p-通道雙晶型多晶矽鰭式場效應記憶體(FinFET)Ω閘極奈米線的一個結構,它被歸類於非揮發性記憶體(NVM)。實驗結果證實,此設備具有超強的記憶特性,它的p型通道及Ω閘極結構提供了一個大的記憶窗口並且具有高的寫入/抹除效率。在104次的寫抹測試中,記憶窗口仍可維持3.5的水準。且經過85。C模擬10年後的保存能力測試,記憶體內儲存的電子數量仍有其初始值的53%。
此外,本實驗也提出了新一代無接面非揮發性記憶建立於體雙電晶體結構上。它可以被寫入,但抹除仍然是一個挑戰。令人驚訝的是,此元件具有良好的保存電子能力,具有可被應用於一次性寫入功能元件的潛力。
在未來,這些多晶矽場效非揮發性記憶體具有很大的潛力可被應用於功能面板系統,液晶顯示器和3D堆疊式快閃記憶體中.
This study proposed the n-channel and p-channel twin poly-Si fin field-effect transistor (FinFET) nonvolatile memory (NVM) with a structure that is composed of Ω-gate nanowires (NWs). Experimental results show that the NW device has superior memory characteristics because its p-channel Ω-gate structure provides a large memory window and high program/erase efficiency. With respect to endurance and retention, the memory window can be maintained at 3.5 V after 104 program and erase cycles, and after ten years, the charge is 53 % of its initial value.
Further, a new generation study of junctionless NVM was studied in this work. The memory is also based on twin structure. It can be programmed but erasing is still a challenge. Surprisingly, the device exhibits a good retention characteristic and have a great potential been used as one time programmable device.
In the future, these twin poly-Si FinFET NVM devices have a great potential to be used in multilayer Si ICs in fully functional system-on-panel, active matrix liquid crystal display and 3D stacked flash memory applications.
Contents
Contents v
Figure Captions vii

Chapter 1 vii
Chapter 2 vii
Chapter 3 viii
Chapter 4 ix

Introduction 1

1.1 Introduction to Nonvolatile Memory 1
1.1.1 The classification of storage device 2
1.2 Motivation 3
1.2.1 Thesis Organization 4

Chapter 2 9
Basic Mechanisms and Reliability of Nonvolatile Memory 9

2.1 Introduction 9
2.2 Basic Mechanisms 9
2.2.1 Fowler-Nordheim (FN) Tunneling 10
2.2.2 Direct Tunneling 11
2.2.3 Trap Assisted Tunneling 11
2.2.4 Channel Hot-Electron Injection (CHEI) 11
2.2.5 Band-to-Band Tunneling induced Hot Electron 13
2.2.6 Fowler-Nordheim (FN) Tunneling erase 14
2.3 Reliability 14
2.3.1 Endurance 14
2.3.2 Retention 15
2.3.3 Disturbs 15

Chapter 3 26
Characteristic of twin-TFT EEPROM withΩ-gate nanowire structure 26

3.1 Device structure and frabrication 26
3.2 Results and Discussion 30
Chapter 4 41
Experiment II: Characteristic of junctionless twin-TFT EEPROM
with O/N layer 41

4.1 Introduction of junctionless 41
4.2 Experimental Procedures 42
4.3 Results and discussion 43
4.3.1One time programmable device 43

Chapter 5 Conclusions and further study 53

Reference 55
Chapter 1
[1-1] K. T. Park, ”A 64-Cell NAND Flash Memory with Asymmetric S/D Structure for Sub-40nm Technology and Beyond”. VLSI Tech Dig 2006:19, 2006.
[1-2] N. D. Young, G. Harkin, R. M. Bunn, D. J. MaCulloch, and I. D. French, “The Fabrication and Characterization of EEPROM Arrays on Glass Using a Low-Temperature Poly-Si TFT Process” ,IEEE Trans on Electron Device, vol. 43 , 11, pp. 1930 - 1936, 1996.
[1-3] M. F. Hung, Y. C. Wu, T. M. Tsai, J. H. Chen, and Y. R. Jhan, “Enhancement of Two-Bit Performance of Dual-Pi-Gate Charge Trapping Layer Flash Memory,” Applied Physics Express, vol. 5, pp. 121801-121803, 2012.
[1-4] E. K. Lai, ”A Highly Stackable Thin-Film Transistor (TFT) NAND-Type Flash Memory,” VLSI Tech Dig 2006:46, 2006.
[1-5] H. T. Lue, ” A highly scalable 8-layer 3D vertical-gate (VG) TFT NAND Flash using junction-free buried channel BE-SONOS device,”VLSI Technology Symposium, 2010.
[1-6] A. Nitayama, ” Bit Cost Scalable (BiCS) technology for future ultra high density memories,” VLSI Technology, Systems, and Applications (VLSI-TSA), 2013.
[1-7] Y. C. Wu, P. W. Su, C. W. Chang, and M. F. Hung, ”Novel Twin Poly-Si Thin-Film Transistors EEPROM With Trigate Nanowire Structure,” Electron Device Letters, 29:11, 2008.
[1-8] Y. C. Wu, P. W. Su, C. W. Chang, and M. F. Hung, ” Program/Erase Characteristics of Twin Poly-Si Thin Film Transistors EEPROM with Tri-Gate Nanowires structure,” Silicon Nanoelectronics Workshop, 2008.
[1-9] J. H. Oh, H. J. Chung, N. I. Lee, and C. H. Han, “High-performance polycrystalline silicon thin-film transistor with multiple nanowire channels and lightly doped drain structure,” Appl Phys Lett., vol. 84, 19, pp. 3822, 2004.
[1-10] N. Gabrielyan, K. Saranti, K.N. Manjunatha, and S. Paul, “Growth of low temperature silicon nano-structures for electronic and electrical energy generation applications,” Nanoscale Research Lett., vol. 8, 83, pp. 1 - 8, 2013.
[1-11] Y. C. Wu, M. F. Hung, and P. W. Su, “Improving the Performance of Nanowires Polycrystalline Silicon Twin Thin-Film Transistors Nonvolatile Memory by NH3 Plasma Passivation,” Journal of the Electrochemical Soc., vol. 158, 5, pp. H578-H582, 2011.
[1-12] M. F. Hung, Y. C. Wu, J. J. Chang, K. S. Chang-Liao, “Twin Thin-Film Transistor Nonvolatile Memory With an Indium–Gallium–Zinc–Oxide Floating Gate,” IEEE Electron Device Lett., vol. 34, 1, pp. 75 - 77, 2013.
[1-13] K. Kahng and S. Sze, "A floating gate and its application to memory devices," IEEE Transactions on Electron Devices, vol. 14, pp. 629-629, 1967.
[1-14] J. Lee; intel, ” Design considerations for scaling FLOTOX E2PROM cell,” Electron Devices Meeting, vol.29, 1983.
[1-15] G Verma; intel, ” Reliability performance of ETOX based flash memories,” Reliability Physics Symposium, 1988
[1-16] K. Naruke; Toshiba Corp, “Stress induced leakage current limiting to scale down EEPROM tunnel oxide thickness,” Electron Devices Meeting, 1988.
Chapter 2
[2-1] P. Pavan, R. Bez, P. Olivo, and E. Zanoni, “Flash memory cells-an overview,” Proceedings of IEEE, Vol. 85, pp. 1248, 1997.
[2-2] M. Woods, “Nonvolatile Semiconductor Memories: Technologies, Design, and Application,” C. Hu, Ed. New York: IEEE Press, ch. 3, p.59, 1991.
[2-4] M. Lezlinger and E. H. Snow, J. Appl. Phys., 40, 278 (1969)
[2-3] J. Moll, “Physics of Semiconductors, ” New York, NY : McGraw-Hill, 1964
[2-4] M. Lezlinger and E. H. Snow, ”Fowler‐Nordheim Tunneling into Thermally Grown SiO2,” J. Appl. Phys.,vol.40,1 ,1969.
[2-5] N Kimizuka, T Yamamoto, T Mogami and K Yamaguchi, “The impact of bias temperature instability for direct-tunneling ultra-thin gate oxide on MOSFET scaling,” VLSI Technology, 1999.
[2-6] K. R. Ashwani, C. Narottam and K. Vinod, “Trap Assisted Tunneling Model for Gate Current in Nano Scale MOSFET with High-K Gate Dielectrics,” World Academy of Science, Engineering and Technology, Vol. 27, 2009.
[2-7] B. Eitan and D. Frohman-Bentchkowsky, “Hot electron Injection into the Oxide in n-
Channel MOS-Devices,” IEEE Trans. Electron Devices., Vol. ED-28, p.328, 1981.
[2-8] Joe E. Brewer, Manzur Gill, “Nonvolatile Memory Technologies with Emphasis on
Flash,” ch. 4, New Jersey: Wiley-InterScience, 2008.
[2-9] T. Ohnakado, K. Mitsunaga, M. Nunoshita, H. Onoda, K. Sakakibara, N. Tsuji, N. Ajika, M. Hatanaka and H. Miyoshi, “Novel Electron Injection Method Using Band- to-Band Tunneling Induced Hot Electron(BBHE) for Flash Memory with a P-channel Cell”, Electron Devices Meeting, 1995.
[2-10] Roberto Bez, Emilic Camerlenghi, Alberto Modelli, and Angelo Visconti,“Introduction to Flash Memory,” Proc. IEEE, Vol. 91, pp. 489-502, 2003.
[2-11] J. Van Houdt, “Reliability Issues of Flash Memory,” short course of the 14th IEEE
Nonvolatile Semiconductor Memory Workshop Monterey, CA, 1995.
[2-12] D. A. Baglee and M. Smayling, ”The Effects of Write/Erase Cycling on Data Loss in
EEPROMs,” IEEE IEDM Tech. Dig., pp. 624-626, 1985.
[2-13] Van Houdt J.F., Wellekens D., Ravazzi L., and Sangiorigi E., “Soft-programming in
scaled flash memory cells,” H.C. de Graaf and H.V. Kranemburg (Eds.), Proc.
ESSDERC 95, The Hague (The Netherlands), pp. 549.
[2-14] P. Cappelletti, C. Golla, P. Olivo, E. Zanoni., “Flash Memories,” 2nd Ed., Norwell, Massachusetts: Kluwer Academic, 2000.
Chapter 4
[4-1] A. Kranti, R. Yan, C. W. Lee, I. Ferain, R. Yu, N. Dehdashti Akhavan, P. Razavi, J. P. Colinge “Junctionless nanowire transistor (JNT): Properties and design guidelines,” Solid-State Device Research Conference (ESSDERC), 2010.
[4-2] H. B. Chen, Y. C. Wu, C. Y. Chang, M. H. Han, N. H. Lu, and Y. C. Cheng, “Performance of GAA poly-Si Nanosheet (2nm) channel of Junctionless Transistors with ideal Subthreshold Slope”, VLSI symposium.2013.
[4-3] H. C. Lin, C. I. Lin, and T. Y. Huang,” Characteristics of n- Type Junctionless Poly-Si Thin-Film Transistors With an Ultrathin Channel,” IEEE Electron Dev. Lett., vol.33, p.53, 2012.
[4-4] H. T. Lue, S. H. Chen, Y. H. Shih, K. Y. Hsieh, and C. Y. Lu, “Overview of 3D NAND Flash and Progress of Vertical Gate (VG) Architecture,” Solid-State and Integrated Circuit Technology (ICSICT), 2012.
 
 
 
 
第一頁 上一頁 下一頁 最後一頁 top
* *