帳號:guest(3.137.185.59)          離開系統
字體大小: 字級放大   字級縮小   預設字形  

詳目顯示

以作者查詢圖書館館藏以作者查詢臺灣博碩士論文系統以作者查詢全國書目
作者(中文):蔡詠瑄
作者(外文):Tsai, Yung-Hsuan
論文名稱(中文):單元至多元耐火合金與釕基介金屬薄膜 微結構與電性之研究
論文名稱(外文):Microstructure and Electrical Properties of Unitary to Multicomponent Refractory Alloy and Ruthenium-based Intermetallic Thin Films
指導教授(中文):張守一
指導教授(外文):Chang, Shou-Yi
口試委員(中文):蔡銘洪
鄒年棣
口試委員(外文):Tsai, Ming-Hung
Tsou, Nien-Ti
學位類別:碩士
校院名稱:國立清華大學
系所名稱:材料科學工程學系
學號:111031632
出版年(民國):113
畢業學年度:112
語文別:中文
論文頁數:140
中文關鍵詞:金屬材料介金屬高熵合金金屬內連線
外文關鍵詞:metalintermetallichigh entropy alloysinterconnect
相關次數:
  • 推薦推薦:0
  • 點閱點閱:6
  • 評分評分:*****
  • 下載下載:0
  • 收藏收藏:0
因應積體電路技術持續發展,面臨效能改進與製程挑戰,技術的成本和複雜性持續增加,並且積體電路不斷縮小,訊號傳輸的速度已經達到極限,為降低積體電路中金屬連線電阻及連線間絕緣層之電容所造成的速度延遲,半導體業早已開始使用銅內連線及低電容值之低介電絕緣材料,然而隨著運算需求不斷提升,銅金屬內連線也難以負荷,學界與業界開始探討新的材料以用於銅導線之擴散阻礙層,例如二維材料、高熵合金,以及新的內連線材料,例如鎳、鈷、釕、鉬及介金屬和MAX相,目前已經有許多研究耐火合金作為擴散阻礙層或是耐火元素作為內連線的研究,然而對於耐火合金的電性的研究有限,且耐火介金屬也未曾被討論,因此本研究探討一元至多元的耐火元素、合金電性差異,並設計釕基的耐火介金屬,討論多元效應對於電子平均自由路徑的影響,以及了解材料本質電阻率的影響因子,並嘗試形成新的介金屬以了解其相結構穩定性,藉由數種材料釐清高熵合金及釕基的耐火介金屬是否有機會做為金屬內連線材料,透過磁控濺鍍沉積一元至五元耐火元素、合金薄膜,透過電性量測,分析不同材料間的差異及合金內部的原子差異對電性所造成的影響,再透過磁控共濺鍍沉積釕與一元耐火元素及釕與二元合金所形成的介金屬薄膜,在800℃、1000 ℃ 一分鐘快速熱退火後形成介金屬相,並對相結構進行分析,再使用Fuchs-Sondheimer和Mayadas-Shatzkes模型進行擬合 (Fitting) 分析,發現多元合金以及釕與二元合金所形成的介金屬之電子的平均自由程非常短,較短的電子平均自由路徑使電子散射程度大幅降低,有效抑制了尺寸效應。

In response to the continuous development of integrated circuit (IC) technology, there are ongoing challenges in performance improvement and manufacturing processes. The cost and complexity of technology are increasing, and with the continuous miniaturization of ICs, the speed of signal transmission has reached its limit. To reduce speed delays caused by the resistance of metal interconnections and the capacitance of the insulating layer between connections, the semiconductor industry has long been using copper interconnections and low-dielectric constant materials. However, as computing demands continue to rise, copper metal interconnections are also becoming difficult to handle. Academia and industry are beginning to explore new materials for diffusion barrier layers of copper wires, such as two-dimensional materials, high-entropy alloys, and new interconnection materials like Co and Ru, intermetallic compounds, and MAX phases. Numerous studies have investigated refractory high-entropy alloys as diffusion barrier layers or refractory elements as interconnections. However, research on the electrical properties of refractory alloys is limited, and Ru-based refractory intermetallic compounds have not been discussed. Therefore, this study explores the electrical differences between unitary to multicomponent refractory materials and alloys and aims to design and develop Ru-based refractory intermetallic compounds. It discusses the impact of high-entropy effects on the electron mean free path and identifies the factors affecting the intrinsic resistivity of materials. Additionally, it attempts to form new intermetallic compounds to understand their phase structure stability, clarifying whether multicomponent alloy and Ru-based refractory intermetallic compounds have the potential to be used as metal interconnection materials.
This research used magnetron sputtering deposition of mono-element to five-element refractory materials and alloy thin films, electrical measurements were conducted to analyze the differences between various materials and the impact of atomic differences within the alloys on their electrical properties. Subsequently, Ru-1B and Ru-2B intermetallic thin films were deposited through magnetron co-sputtering. After rapid thermal annealing at 800°C and 1000°C for 1 minute to form intermetallic phases, the phase structure was analyzed. Using the Fuchs-Sondheimer and Mayadas-Shatzkes models for fitting, it was found that the average free path of electrons is very short. Compared to pure metals, the shorter average free path of electrons significantly reduces electron scattering, effectively suppressing the size effect.

摘要 I
Abstract III
目錄 V
圖目錄 IX
表目錄 XVII
壹、前言 1
貳、文獻回顧 3
2-1 積體電路內連線發展近況 3
2-1-1 金屬內連線材料 3
2-1-2 電阻電容延遲效應-RC Delay 5
2-1-3 金屬內連線-介電材料 7
2-1-4 銅內連線-雙鑲嵌製程 9
2-1-5 銅內連線-電化學鍍覆 (ECP) 11
2-1-6 銅內連線-擴散阻障層 13
2-2 影響內連線電阻率因素 15
2-2-1 體電阻率 Bulk resistivity (ρ0) 15
2-2-2 合金電阻率-雜質散射 17
2-2-3 介金屬電阻率-有序結構影響 20
2-2-4 Matthiessen’s rule 21
2-2-5 表面散射-Fuchs Sondheimer Model 23
2-2-6 晶界散射-Mayadas Shatzkes Model 26
2-2-7 電子平均自由路徑 (EMFP,λ) 31
2-2-8 電阻溫度係數 (TCR) 33
2-3 新金屬內連線材料 36
2-3-1 銅內連線製程技術之困境 36
2-3-2 純金屬作為內連線之研究 40
2-3-3 合金作為內連線之研究 47
2-3-4 介金屬作為內連線之研究 49
2-4 高熵合金電性與發展潛力 54
2-4-1 高熵合金介紹 54
2-4-2 高熵合金薄膜 57
2-4-3 高熵介金屬 62
2-5 研究目的 64
參、實驗步驟 65
3-1 實驗規劃 65
3-1-1 成分選擇 66
3-2 實驗流程 70
3-2-1 靶材製備 70
3-2-2 基板準備 71
3-2-3 試片基本性質 72
3-2-4 金屬薄膜沉積 73
3-2-5 真空熱處理 75
3-2-6 GIXRD晶體結構定 76
3-2-7 EPMA組成成分分析 77
3-2-8 SEM薄膜表面形貌觀察 78
3-2-9 AFM薄膜厚度觀察 79
3-2-10 聚焦離子束 (FIB) -TEM試片製備 80
3-2-11 TEM薄膜微結構觀察與成分分析 81
3-2-12 四點探針電阻率量測 82
3-2-13 電阻溫度係數量測 83
肆、結果與討論 84
4-1 1B-5B 耐火金屬、合金性質分析 84
4-1-1 元素、合金鍍率分析 84
4-1-2 XRD晶體結構定 87
4-1-3 EPMA組成成分分析 90
4-1-4 SEM表面形貌觀察 92
4-1-5 厚膜電阻率討論 95
4-1-6 薄膜電阻率討論 100
4-1-7 電阻溫度係數 (TCR值) 分析 105
4-2 Ru-1B與Ru-2B 性質分析 107
4-2-1 Ru 金屬鍍率分析 107
4-2-2 XRD晶體結構鑑定 109
4-2-3 TEM-EDS組成成分分析 113
4-2-4 TEM微結構觀察 115
4-2-5 厚膜電阻率討論 121
4-2-6 薄膜電阻率討論 123
4-2-7 TCR值分析 128
伍、結論 131
陸、參考文獻 133

[1] J.H. Moon, E. Jeong, S. Kim, T. Kim, E. Oh, K. Lee, H. Han, Y.K. Kim, Materials quest for advanced interconnect metallization in integrated circuits, Advanced Science 10(23) (2023) 2207321.
[2] Z. Wang, B. Crafton, J. Gomez, R. Xu, A. Luo, Z. Krivokapic, L. Martin, S. Datta, A. Raychowdhury, A. Khan, 2018 IEEE Int. Electron Devices Meeting (IEDM), (2018).
[3] Semiconductor Devices and Process Technology handbook, Semiconductor Devices and Process Technology handbook, MKS Instruments2017.
[4] S.A. Schendel, H. Hazan-Molina, A. Rachmiel, D. Aizenbud, The future in craniofacial surgery: Computer-assisted planning, Rambam Maimonides Medical Journal 3(2) (2012).
[5] A. Stamper, M. Fuselier, X. Tian, Advanced wiring RC delay issues for sub-0.25-micron generation CMOS, Proceedings of the IEEE 1998 International Interconnect Technology Conference (Cat. No. 98EX102), IEEE, 1998, pp. 62-64.
[6] S. Kasap, C. Koughia, H.E. Ruda, Electrical conduction in metals and semiconductors, Springer handbook of electronic and photonic materials (2017) 1-1.
[7] S. Muthukumar, C.D. Hill, S. Ford, W. Worwag, T. Dambrauskas, P.C. Challela, T.S. Dory, N.M. Patel, E.L. Ramsay, D.S. Chau, High-density compliant die-package interconnects, 56th Electronic Components and Technology Conference 2006, IEEE, 2006, p. 6 pp.
[8] R.D. Miller, In search of low-k dielectrics, Science 286(5439) (1999) 421-423.
[9] D. Shamiryan, T. Abell, F. Iacopi, K. Maex, Low-k dielectric materials, Materials today 7(1) (2004) 34-39.
[10] Y.-L. Cheng, C.-Y. Lee, C.-W. Haung, Plasma Damage on Low-k Dielectric Materials, IntechOpen Vienna, Austria2018.
[11] M. Morgen, E.T. Ryan, J.-H. Zhao, C. Hu, T. Cho, P.S. Ho, Low dielectric constant materials for ULSI interconnects, Annual Review of Materials Science 30(1) (2000) 645-680.
[12] K. Maex, M. Baklanov, D. Shamiryan, F. Lacopi, S. Brongersma, Z.S. Yanovitskaya, Low dielectric constant materials for microelectronics, Journal of Applied Physics 93(11) (2003) 8793-8841.
[13] A.L.S. Loke, Process integration issues of low-permittivity dielectrics with copper for high-performance interconnects, Stanford University1999.
[14] Y. Wei, D. Wu, Material removal rate prediction in chemical mechanical planarization with conditional probabilistic autoencoder and stacking ensemble learning, Journal of Intelligent Manufacturing 35(1) (2024) 115-127.
[15] M. Seehra, A. Bristow, Noble and Precious Metals: Properties, Nanoscale Effects and Applications, BoD–Books on Demand2018.
[16] A. Kaloyeros, E. Eisenbraun, Ultrathin diffusion barriers/liners for gigascale copper metallization, Annual review of materials science 30(1) (2000) 363-385.
[17] C.C. Lee, E. Machlin, H. Rathore, Roles of Ti‐intermetallic compound layers on the electromigration resistance of Al‐Cu interconnecting stripes, Journal of applied physics 71(12) (1992) 5877-5887.
[18] M. He, T.-M. Lu, Metal-dielectric interfaces in gigascale electronics: thermal and electrical stability, Springer Science & Business Media2012.
[19] L. Wang, X. Guo, S. Dong, Y. Qiao, J. Chen, Z. Yan, R. Shu, L. Jin, Effect of Carbon-Doped Cu (Ni) Alloy Film for Barrierless Copper Interconnect, Coatings 14(1) (2024) 68.
[20] C.-H. Lin, A newly developed Cu (Rh) alloy film and its characteristics and applications, AAPPS Bulletin 34(1) (2024) 1-12.
[21] J.H. Moon, S. Kim, T. Kim, Y.S. Jeon, Y. Kim, J.-P. Ahn, Y.K. Kim, Electrical resistivity evolution in electrodeposited Ru and Ru-Co nanowires, Journal of Materials Science & Technology 105 (2022) 17-25.
[22] S.-Y. Chang, C.-Y. Wang, M.-K. Chen, C.-E. Li, Ru incorporation on marked enhancement of diffusion resistance of multi-component alloy barrier layers, Journal of Alloys and Compounds 509(5) (2011) L85-L89.
[23] G.T. Meaden, G.T. Meaden, The theory of the electrical resistance of metals, Electrical Resistance of Metals (1965) 59-94.
[24] D. Gall, Electron mean free path in elemental metals, Journal of applied physics 119(8) (2016).
[25] M. Matsuo, R. Zhang, Y. Bin, An understandable approach to the temperature dependence of electric properties of polymer-filler composites using elementary quantum mechanics, Chemistry Teacher International 3(2) (2021) 185-211.
[26] A. Kumar, S. Rafique, T.P. Sinha, Electronic transport and ground state properties of Li-Mg binary alloy, Chinese Journal of Physics 47(2) (2009) 215-225.
[27] D. You, H. Zhang, S. Ganorkar, T. Kim, J. Schroers, J.J. Vlassak, D. Lee, Electrical resistivity as a descriptor for classification of amorphous versus crystalline phases of alloys, Acta Materialia 231 (2022) 117861.
[28] H. Pan, F. Pan, R. Yang, J. Peng, C. Zhao, J. She, Z. Gao, A. Tang, Thermal and electrical conductivity of binary magnesium alloys, Journal of Materials Science 49 (2014) 3107-3124.
[29] M. Nakamura, Fundamental properties of intermetallic compounds, Mrs Bulletin 20(8) (1995) 33-39.
[30] J. Banhart, G. Czycholl, Electrical conductivity of long-range–ordered alloys, Europhysics Letters 58(2) (2002) 264.
[31] J. Plombon, E. Andideh, V.M. Dubin, J. Maiz, Influence of phonon, geometry, impurity, and grain size on copper line resistivity, Applied physics letters 89(11) (2006).
[32] D. Gall, The search for the most conductive metal for narrow interconnect lines, Journal of Applied Physics 127(5) (2020).
[33] W. Ma, X. Zhang, K. Takahashi, Electrical properties and reduced Debye temperature of polycrystalline thin gold films, Journal of Physics D: Applied Physics 43(46) (2010) 465301.
[34] S. Dutta, K. Sankaran, K. Moors, G. Pourtois, S. Van Elshocht, J. Bömmels, W. Vandervorst, Z. Tőkei, C. Adelmann, Thickness dependence of the resistivity of platinum-group metal thin films, Journal of Applied Physics 122(2) (2017).
[35] Y. Zhu, X. Lang, W. Zheng, Q. Jiang, Electron scattering and electrical conductance in polycrystalline metallic films and wires: impact of grain boundary scattering related to melting point, ACS nano 4(7) (2010) 3781-3788.
[36] Y.-L. Chen, Y.-Y. Fang, M.-Y. Lu, P.Y. Keng, S.-Y. Chang, Grain-boundary/interface structures and scatterings of ruthenium and molybdenum metallization for low-resistance interconnects, Applied Surface Science 629 (2023) 157440.
[37] K. Croes, C. Adelmann, C.J. Wilson, H. Zahedmanesh, O.V. Pedreira, C. Wu, A. Leśniewska, H. Oprins, S. Beyne, I. Ciofi, Interconnect metals beyond copper: Reliability challenges and opportunities, 2018 IEEE International Electron Devices Meeting (IEDM), IEEE, 2018, pp. 5.3. 1-5.3. 4.
[38] X.F. Tan, Q. Hao, J. Zhou, S.D. McDonald, K. Sweatman, K. Nogita, The Effect of Temperature on the Electrical Resistivity of Sn-Bi Alloys, Journal of Electronic Materials 53(3) (2024) 1183-1191.
[39] J. Mooij, Electrical conduction in concentrated disordered transition metal alloys, physica status solidi (a) 17(2) (1973) 521-530.
[40] Y. Zhang, G.M. Stocks, K. Jin, C. Lu, H. Bei, B.C. Sales, L. Wang, L.K. Béland, R.E. Stoller, G.D. Samolyuk, Influence of chemical disorder on energy dissipation and defect evolution in concentrated solid solution alloys, Nature communications 6(1) (2015) 8736.
[41] M. Poliakov, D. Kovalev, S. Vadchenko, D. Moskovskikh, P. Kiryukhantsev-Korneev, L. Volkova, A. Dudin, A. Orlov, A. Goryachev, A. Rogachev, Amorphous/nanocrystalline high-entropy CoCrFeNiTix thin films with low thermal coefficient of resistivity obtained via magnetron deposition, Nanomaterials 13(13) (2023) 2004.
[42] C.-L. Lo, B.A. Helfrecht, Y. He, D.M. Guzman, N. Onofrio, S. Zhang, D. Weinstein, A. Strachan, Z. Chen, Opportunities and challenges of 2D materials in back-end-of-line interconnect scaling, Journal of Applied Physics 128(8) (2020).
[43] M. Lane, E. Liniger, J.R. Lloyd, Relationship between interfacial adhesion and electromigration in Cu metallization, Journal of Applied Physics 93(3) (2003) 1417-1421.
[44] W.-T. Tseng, C. Boye, C. Silvestre, J.H.-C. Chen, F. li Lie, D. Canaperi, CMP defect reduction and mitigation: practices and future trends, 2021 32nd Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC), IEEE, 2021, pp. 1-6.
[45] Z.-W. Zhong, Recent developments and applications of chemical mechanical polishing, The International Journal of Advanced Manufacturing Technology 109(5) (2020) 1419-1430.
[46] H.-D. Liu, Y.-P. Zhao, G. Ramanath, S. Murarka, G.-C. Wang, Thickness dependent electrical resistivity of ultrathin (< 40 nm) Cu films, Thin Solid Films 384(1) (2001) 151-156.
[47] F. Griggio, J. Palmer, F. Pan, N. Toledo, A. Schmitz, I. Tsameret, R. Kasim, G. Leatherman, J. Hicks, A. Madhavan, Reliability of dual-damascene local interconnects featuring cobalt on 10 nm logic technology, 2018 IEEE International Reliability Physics Symposium (IRPS), IEEE, 2018, pp. 6E. 3-1-6E. 3-5.
[48] I. Bakonyi, Accounting for the resistivity contribution of grain boundaries in metals: critical analysis of reported experimental and theoretical data for Ni and Cu, The European Physical Journal Plus 136(4) (2021) 410.
[49] X. Zhang, H. Huang, R. Patlolla, W. Wang, F.W. Mont, J. Li, C.-K. Hu, E.G. Liniger, P.S. McLaughlin, C. Labelle, Ruthenium interconnect resistivity and reliability at 48 nm pitch, 2016 IEEE international interconnect technology conference/advanced metallization conference (IITC/AMC), IEEE, 2016, pp. 31-33.
[50] A. Jog, D. Gall, Electron scattering at surfaces and grain boundaries in Rh layers, IEEE Transactions on Electron Devices 69(7) (2022) 3854-3860.
[51] A. Jog, D. Gall, Resistivity size effect in epitaxial iridium layers, Journal of Applied Physics 130(11) (2021).
[52] K.S. Jean-Philippe Soulié, Benoit Van Troeye, Alicja Leśniewska, Olalla Varela Pedreira, Herman Oprins, Gilles Delie, Claudia Fleischmann, Lizzie Boakes, Cédric Rolin, Lars-Åke Ragnarsson, Kristof Croes, Seongho Park, Johan Swerts, Geoffrey Pourtois, Zsolt Tőkei, Christoph Adelmann, Selecting Alternative Metals for Advanced Interconnects, 2024.
[53] K. Croes, C. Adelmann, C. Wilson, H. Zahedmanesh, O.V. Pedreira, C. Wu, A. Leśniewska, H. Oprins, S. Beyne, I. Ciofi, Interconnect metals beyond copper: Reliability challenges and opportunities, 2018 IEEE International Electron Devices Meeting (IEDM), IEEE, 2018, pp. 5.3. 1-5.3. 4.
[54] J.-P. Soulié, K. Sankaran, G. Pourtois, J. Swerts, Z. Tőkei, C. Adelmann, Cu1-xAlx films as Alternatives to Copper for Advanced Interconnect Metallization, arXiv preprint arXiv:2405.02046 (2024).
[55] K.-Y. Song, S. Na, B.-J. Kim, H.-J. Lee, Atomic diffusion and electrical reliability of NiAl/SiO2 interconnect: breakdown voltage and TDDB characteristics, Journal of Materials Research and Technology (2024).
[56] J.-P. Soulié, Z. Tókei, N. Heylen, C. Adelmann, Reduced resistivity of NiAl by backthinning for advanced interconnect metallization, 2023 IEEE International Interconnect Technology Conference (IITC) and IEEE Materials for Advanced Metallization Conference (MAM)(IITC/MAM), IEEE, 2023, pp. 1-3.
[57] J.-P. Soulié, K. Sankaran, B. Van Troeye, A. Leśniewska, O.V. Pedreira, H. Oprins, G. Delie, C. Fleischmann, L. Boakes, C. Rolin, Selecting Alternative Metals for Advanced Interconnects, arXiv preprint arXiv:2406.09106 (2024).
[58] L. Chen, D. Ando, Y. Sutou, J. Koike, CuAl2 thin films as a low-resistivity interconnect material for advanced semiconductor devices, Journal of Vacuum Science & Technology B 37(3) (2019).
[59] L. Chen, D. Ando, Y. Sutou, D. Gall, J. Koike, NiAl as a potential material for liner-and barrier-free interconnect in ultrasmall technology node, Applied Physics Letters 113(18) (2018).
[60] L. Chen, S. Kumar, M. Yahagi, D. Ando, Y. Sutou, D. Gall, R. Sundararaman, J. Koike, Interdiffusion reliability and resistivity scaling of intermetallic compounds as advanced interconnect materials, Journal of Applied Physics 129(3) (2021).
[61] J.W. Yeh, S.K. Chen, S.J. Lin, J.Y. Gan, T.S. Chin, T.T. Shun, C.H. Tsau, S.Y. Chang, Nanostructured high‐entropy alloys with multiple principal elements: novel alloy design concepts and outcomes, Advanced engineering materials 6(5) (2004) 299-303.
[62] T. Sonar, M. Ivanov, E. Trofimov, A. Tingaev, I. Suleymanova, A comprehensive review on fusion welding of high entropy alloys–processing, microstructural evolution and mechanical properties of joints, International Journal of Lightweight Materials and Manufacture 7(1) (2024) 122-183.
[63] W. Li, D. Xie, D. Li, Y. Zhang, Y. Gao, P.K. Liaw, Mechanical behavior of high-entropy alloys, Progress in Materials Science 118 (2021) 100777.
[64] M.-H. Tsai, C.-W. Wang, C.-W. Tsai, W.-J. Shen, J.-W. Yeh, J.-Y. Gan, W.-W. Wu, Thermal stability and performance of NbSiTaTiZr high-entropy alloy barrier for copper metallization, Journal of the Electrochemical Society 158(11) (2011) H1161.
[65] C.-Y. Cheng, J.-W. Yeh, High-entropy BNbTaTiZr thin film with excellent thermal stability of amorphous structure and its electrical properties, Materials Letters 185 (2016) 456-459.
[66] X. Feng, J. Zhang, Z. Xia, W. Fu, K. Wu, G. Liu, J. Sun, Stable nanocrystalline NbMoTaW high entropy alloy thin films with excellent mechanical and electrical properties, Materials Letters 210 (2018) 84-87.
[67] S.-Y. Chang, C.-E. Li, Y.-C. Huang, H.-F. Hsu, J.-W. Yeh, S.-J. Lin, Structural and thermodynamic factors of suppressed interdiffusion kinetics in multi-component high-entropy materials, Scientific reports 4(1) (2014) 4162.
[68] N. Zhou, S. Jiang, T. Huang, M. Qin, T. Hu, J. Luo, Single-phase high-entropy intermetallic compounds (HEICs): bridging high-entropy alloys and ceramics, Science Bulletin 64(12) (2019) 856-864.
[69] H. Wang, Q.-F. He, Y. Yang, High-entropy intermetallics: from alloy design to structural and functional properties, Rare Metals 41(6) (2022) 1989-2001.
[70] ASM Handbook Volume 3: Alloy Phase Diagrams, ASM International2016.
[71] F.R. De Boer, W. Mattens, R. Boom, A. Miedema, A. Niessen, Cohesion in metals. Transition metal alloys, (1988).

 
 
 
 
第一頁 上一頁 下一頁 最後一頁 top
* *