帳號:guest(18.118.154.250)          離開系統
字體大小: 字級放大   字級縮小   預設字形  

詳目顯示

以作者查詢圖書館館藏以作者查詢臺灣博碩士論文系統以作者查詢全國書目
作者(中文):方永騰
作者(外文):Fang, Yung-Teng
論文名稱(中文):綠光雷射之複晶矽超晶格氧化鉿鋯鰭式電晶體與鐵電記憶體於後段製程之應用
論文名稱(外文):Study of Green Laser Crystallized Poly-Si Fin Field-effect Transistor and Ferroelectric Memory with Superlattice HfO2-ZrO2 for BEOL Applications
指導教授(中文):吳永俊
指導教授(外文):Wu, Yung-Chun
口試委員(中文):巫勇賢
蕭健男
侯福居
口試委員(外文):WU, YUNG-HSIEN
Hsiao, Chien-Nan
Hou, Fu-Ju
學位類別:碩士
校院名稱:國立清華大學
系所名稱:工程與系統科學系
學號:111011554
出版年(民國):113
畢業學年度:112
語文別:中文
論文頁數:62
中文關鍵詞:綠光雷射結晶複晶矽鰭式電晶體複晶矽鐵電記憶體超晶格氧化鉿鋯
外文關鍵詞:green laser crystallizationpoly-Si FinFETpoly-Si ferroelectric memorysuperlattice HfO2-ZrO2
相關次數:
  • 推薦推薦:0
  • 點閱點閱:28
  • 評分評分:*****
  • 下載下載:0
  • 收藏收藏:0
隨著半導體技術的突飛猛進,元件的尺寸微縮已趨近物理的極限。然而市場對於更高效能、高密度及低功耗的產品需求並沒有下降,因此驅使元件朝摩爾定律之外的三維堆疊發展,而要將不同的元件垂直整合,勢必會面臨許多製程上的問題,像是前後段元件製程是否相容,以及後段製程的熱預算限制,都是實現三維堆疊前需要克服的困難。
基於上述所提及之問題,本篇論文研究提出利用低溫綠光雷射結晶技術形成的複晶矽製作鰭式電晶體及鐵電記憶體,符合後段製程的低熱預算需求,並且能夠實現單晶片垂直整合,以達到記憶體內運算的能力,這將大幅降低運算元件與記憶體之間傳輸資料所需消耗的時間及能量,突破馮‧紐曼架構的極限。另外,在閘極氧化層部分,我們使用多層堆疊之氧化鉿/氧化鋯結構,相較於傳統氧化鉿鋯,此結構具有更大的介電常數,以及更薄的等效氧化層厚度,使電晶體能夠產生更大的電流。多層堆疊之氧化鉿/氧化鋯應用於鐵電記憶體,亦能產生更大的記憶窗口,並且擁有更低的缺陷密度,以達到更好的可靠度。
本篇研究將分成兩個部分,分別是作為邏輯元件的鰭式電晶體以及記憶元件的鐵電記憶體。
第一部分為綠光雷射複晶矽鰭式電晶體。如上述所說,製作於上層的元件需盡可能降低熱預算,而由於直接沉積單晶矽及複晶矽的溫度皆過高,不符合後段製程,因此我們首先沉積150奈米之非晶矽,接著使用低溫綠光雷射結晶形成複晶矽通道,再利用化學機械研磨將通道厚度減至40奈米,同時降低因雷射掃描而增加的表面粗糙度。接著,使用原子層沉積技術沉積5奈米之多層堆疊氧化鉿/氧化鋯作為閘極氧化層,並利用物理氣相沉積氮化鈦做為金屬閘極。在定義閘極區域並摻雜磷離子後,最終利用快速熱退火活化摻雜離子及鐵電層的結晶。我們所製作的鰭式電晶體擁有2.7 × 107的Ion/Ioff比值,平均次臨界擺幅達到80.2 mV/dec,DIBL亦控制在13.7 mV/V。除了優秀的電性表現,多層堆疊氧化鉿/氧化鋯之元件表現出比傳統氧化鉿鋯元件大1.9倍的飽和電流,證明此結構擁有更高的介電常數。因此,我們使用此結構另外製作p型元件並探討CMOS反相器之特性,得到筆直的電壓轉換特性曲線與155.9 V/V的最大電壓增益。
第二部分為複晶矽鰭式鐵電記憶體。此元件結構與上述之電晶體僅在閘極氧化層有不同厚度,其餘皆相同。在記憶體的鐵電層部分,我們沉積了10奈米多層堆疊氧化鉿/氧化鋯,較厚的鐵電層有助於生成較大的極化量,以提升記憶窗口的大小。我們所製作的鐵電記憶體擁有100奈秒的高操作速度,利用±5 V, 100奈秒的脈衝進行寫入/抹除操作,可得到1.93 V的記憶窗口。另外,我們對元件的可靠度進行量測,鐵電記憶體存取的資料在室溫環境下經過104秒後仍保持相當穩定,展現出良好的儲存資料能力。耐用度方面,傳統氧化鉿鋯之元件在經歷104次操作後即崩潰,而多層堆疊氧化鉿/氧化鋯之元件在經歷106次的操作後,仍能維持0.66 V之記憶窗口,證明其擁有更好的耐用度。
此論文利用低溫綠光雷射結晶之複晶矽製作電晶體與鐵電記憶體,兩者皆表現出極佳的特性,其符合後段製程之特性加上相容於CMOS製程,對於未來應用於垂直整合有著巨大的潛力。

With the advancement of semiconductor technology, device scaling has approached its physical limits. However, the market demand for products with higher efficiency, higher density, and lower power consumption has not diminished. Hence, the progression towards three-dimensional (3D) stacking has become inevitable. To integrate different components vertically will unavoidably face numerous process challenges, including assessing the compatibility of the front-end-of-line (FEOL) and back-end-of-line (BEOL) processes, as well as overcoming the thermal budget restrictions of the BEOL process. These will be the difficulties that need to be overcome to realize 3D stacking.
Based on the aforementioned issues, in this study, we propose low-temperature green laser crystallized poly-Si to fabricate fin field-effect transistors (FinFETs) and ferroelectric memories. These devices are compatible with monolithic integration, which can achieve in-memory computing to significantly reduce the time and energy consumption for data transfer between computing components and memories. For gate oxide, we utilize superlattice HfO2/ZrO2. Compared to traditional HZO, this structure exhibits a higher permittivity and thinner equivalent oxide thickness, enabling transistors to generate higher current. For memory, superlattice HfO2/ZrO2 yields a larger memory window and lower defect density, which can improve reliability characteristics.
This research will be divided into two parts, focusing on fin field-effect transistors (FinFETs) for logic applications in the first part, and on ferroelectric memory in the second part.
The first part focuses on green laser crystallized poly-Si FinFET. As mentioned above, components fabricated at the upper layer must minimize the thermal budget as much as possible. Due to the high temperature required for direct deposition of monocrystalline silicon or poly-Si, which is not BEOL-compatible, we first deposited 150 nm of amorphous silicon and then crystallized it into poly-Si with green laser. The thickness was then reduced to 40 nm using chemical mechanical polishing (CMP) while mitigating the surface roughness caused by laser. Subsequently, a 5-nm superlattice HfO2/ZrO2 was deposited by atomic layer deposition as gate oxide, followed by TiN deposition by physical vapor deposition as gate metal. After defining the gate region and doping ions, rapid thermal annealing was employed to activate dopants and crystallize the ferroelectric layer. The fabricated devices exhibited an Ion/Ioff ratio of 2.7 × 107, an average subthreshold swing of 80.2 mV/dec, and a DIBL of 13.7 mV/V. The devices with superlattice HfO2/ZrO2 exhibited a saturation current 1.9 times higher than those with conventional HZO, proving that this structure possesses a higher k-value. Therefore, we utilized this structure to fabricate the p-type transistors and investigated the characteristics of CMOS inverter, achieving an excellent voltage transfer characteristic and a voltage gain of 155.9 V/V.
The second part focuses on poly-Si ferroelectric memory. The only difference between ferroelectric memory and the aforementioned transistor device is the thickness of the oxide layer. For ferroelectric memory, we deposited a thicker 10-nm of superlattice HfO2/ZrO2, which contributes to a larger polarization to enhance memory window. The ferroelectric memory exhibited a high operation speed of 100 ns. The device exhibited a maximum memory window of 1.93 V using pulses of ± 5 V, 100 ns for program/erase operations. We also conducted reliability tests, the device demonstrated stable states after 104 s at room temperature, indicating its excellent data retention capability. In terms of endurance, conventional HZO device failed after 104 cycles, whereas superlattice HfO2/ZrO2 device maintained a memory window of 0.66 V after 106 cycles, proving its superior endurance characteristic.
In this study, we utilize green laser crystallized poly-Si to fabricate transistors and ferroelectric memories, both exhibited excellent performance. With the compatibility with both the BEOL process and CMOS process, they have significant potential for future 3D integration applications.
摘要 i
Abstract iii
誌謝 vi
目錄 vii
圖目錄 x
表目錄 xii
第一章 1
緒論 1
1.1 元件的微縮與三維堆疊 (Device Scaling and 3D Stacking) 1
1.2 低溫綠光雷射結晶技術 (Low Temperature Green Laser Crystallization) 4
1.3 二氧化鉿基材料之鐵電特性 (Ferroelectricity of HfO2-based Material) 6
1.4 超晶格二氧化鉿/二氧化鋯 (Superlattice HfO2/ZrO2) 9
1.5 鐵電記憶體 (Ferroelectric Field-effect Transistor Memory) 11
第二章 13
機制探討 13
2.1 鐵電層之厚度 (Thickness of Ferroelectricity Film) 13
2.2 電晶體重要參數 15
2.2.1 次臨界擺幅 (Subthreshold Swing) 15
2.2.2 汲極引發能障降低 (Drain Induced Barrier Lowering) 16
2.2.3 反相器操作機制 (Operating Mechanism of Inverter) 17
2.3 鐵電記憶體機制 (Mechanism of FeFET) 19
2.3.1 電荷捕捉效應 (Charge Trapping Effect) 19
2.3.2 介面層 (Interfacial Layer) 21
2.3.3 讀取造成之影響 (Impact of Read Operation) 23
第三章 25
綠光雷射複晶矽超晶格氧化鉿/氧化鋯鰭式電晶體 25
3.1 實驗動機 (Motivation) 25
3.2 製程步驟 (Device Fabrication) 26
3.3 穿透式電子顯微鏡與能量散射x射線譜分析 (TEM and EDS Analysis) 30
3.4 電特性分析 (Electrical Characteristics Analysis) 32
3.4.1 電晶體電特性分析 (FinFET Electrical Characteristics Analysis) 32
3.4.2 反相器電特性分析 (Inverter Electrical Characteristics Analysis) 36
第四章 39
綠光雷射複晶矽超晶格氧化鉿/氧化鋯鰭式鐵電記憶體 39
4.1 實驗動機 (Motivation) 39
4.2 製程步驟 (Device Fabrication) 41
4.3 穿透式電子顯微鏡與能量散射X射線譜分析 (TEM and EDS Analysis) 43
4.4 記憶窗口與脈衝電壓分析 (Memory Window and Pulse Voltage Analysis) 45
4.5 可靠度分析 (Reliability Analysis) 51
第五章 54
結論與未來展望 54
5.1 結論 (Conclusion) 54
5.2 未來展望 (Future Work) 55
參考文獻 56

第一章
[1-1] International Roadmap for Devices and Systems (IRDS™) 2021 Edition: https://irds.ieee.org/editions/2021
[1-2] Waldrop, M. Mitchell. "More than Moore." Nature, vol. 530, no. 7589, 11 Feb. 2016, pp. 144+. Gale OneFile: Health and Medicine, link.gale.com/apps/doc/A443132364/HRCA?u=anon~3a6b45d&sid=googleScholar&xid=e0ea0828. Accessed 14 May 2024.
[1-3] J. von Neumann, "First draft of a report on the EDVAC," in IEEE Annals of the History of Computing, vol. 15, no. 4, pp. 27-75, 1993, doi: 10.1109/85.238389.
[1-4] https://www.eettaiwan.com/20171024nt01-monolithic-3d-shows-promise-challenges/
[1-5] C. -C. Yang et al., "Location-controlled-grain Technique for Monolithic 3D BEOL FinFET Circuits," 2018 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2018, pp. 11.3.1-11.3.4, doi: 10.1109/IEDM.2018.8614708.
[1-6] H. -T. Chung et al., "Effect of Crystallinity on the Electrical Characteristics of Poly-Si Tunneling FETs via Green Nanosecond Laser Crystallization," in IEEE Electron Device Letters, vol. 42, no. 2, pp. 164-167, Feb. 2021, doi: 10.1109/LED.2021.3049329.
[1-7] C. -C. Yang et al., "High Gamma Value 3D-Stackable HK/MG-Stacked Tri-Gate Nanowire Poly-Si FETs With Embedded Source/Drain and Back Gate Using Low Thermal Budget Green Nanosecond Laser Crystallization Technology," in IEEE Electron Device Letters, vol. 37, no. 5, pp. 533-536, May 2016, doi: 10.1109/LED.2016.2537381.
[1-8] J. Robertson, “High dielectric constant oxides,” The European Physical Journal Applied Physics, vol. 28, no. 3, pp. 265-291, 2004, doi: 10.1051/epjap:2004206.
[1-9] M. H. Park, T. Schenk, C. M. Fancher, E. D. Grimley, C. Zhou, C. Richter, J. M. LeBeau, J. L. Jones, T. Mikolajick, and U. Schroeder, “A comprehensive study on the structural evolution of HfO2 thin films doped with various dopants,” Journal of Materials Chemistry C, vol. 5, no. 19, pp. 4677-4690, 2017, doi: 10.1039/c7tc01200d.
[1-10] J. Müller, T. S. Böscke, U. Schröder, S. Mueller, D. Bräuhaus, U. Böttger, L. Frey, and T. Mikolajick, “Ferroelectricity in Simple Binary ZrO2 and HfO2,” Nano Letters, vol. 12, no. 8, pp. 4318-4323, 2012, doi: 10.1021/nl302049k.
[1-11] N. Gong and T. -P. Ma, "A Study of Endurance Issues in HfO2-Based Ferroelectric Field Effect Transistors: Charge Trapping and Trap Generation," in IEEE Electron Device Letters, vol. 39, no. 1, pp. 15-18, Jan. 2018, doi: 10.1109/LED.2017.2776263.
[1-12] Y. Peng et al., "HfO2-ZrO2 Superlattice Ferroelectric Capacitor With Improved Endurance Performance and Higher Fatigue Recovery Capability," in IEEE Electron Device Letters, vol. 43, no. 2, pp. 216-219, Feb. 2022, doi: 10.1109/LED.2021.3135961.
[1-13] Zahoor, F., Azni Zulkifli, T.Z. & Khanday, F.A. Resistive Random Access Memory (RRAM): an Overview of Materials, Switching Mechanism, Performance, Multilevel Cell (mlc) Storage, Modeling, and Applications. Nanoscale Res Lett 15, 90 (2020). https://doi.org/10.1186/s11671-020-03299-9
[1-14] H. . -S. P. Wong et al., "Phase Change Memory," in Proceedings of the IEEE, vol. 98, no. 12, pp. 2201-2227, Dec. 2010, doi: 10.1109/JPROC.2010.2070050.
[1-15] S. Tehrani, J. M. Slaughter, E. Chen, M. Durlam, J. Shi and M. DeHerren, "Progress and outlook for MRAM technology," in IEEE Transactions on Magnetics, vol. 35, no. 5, pp. 2814-2819, Sept. 1999, doi: 10.1109/20.800991.
[1-16] T. Mikolajick, S. Slesazeck, M.-H. Park, and U. Schroeder, “Ferroelectric hafnium oxide for ferroelectric random-access memories and ferroelectric field-effect transistors,” MRS Bulletin, vol. 43, no. 5, pp. 340-346, 2018, doi:10.1557/mrs.2018.92.
第二章
[2-1] S. Salahuddin and S. Datta, “Use of Negative Capacitance to Provide Voltage Amplification for Low Power Nanoscale Devices,” Nano Letters, vol. 8, pp. 405-410, 2008, doi:10.1021/nl071804g.
[2-2] T. Ali et al., "A Multilevel FeFET Memory Device based on Laminated HSO and HZO Ferroelectric Layers for High-Density Storage," 2019 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2019, pp. 28.7.1-28.7.4, doi: 10.1109/IEDM19573.2019.8993642.
[2-3] A. Es-Sakhi and M. H. Chowdhury, "Analytical model to estimate the subthreshold swing of SOI FinFET," 2013 IEEE 20th International Conference on Electronics, Circuits, and Systems (ICECS), Abu Dhabi, United Arab Emirates, 2013, pp. 52-55, doi: 10.1109/ICECS.2013.6815343.
[2-4] D. A. Neamen, Semiconductor Physics and Devices: Basic Principles. McGraw-Hill, 2012.
[2-5] S. M. Sze and M.-K. Lee, Semiconductor Devices: Physics and Technology, 3rd ed. 2016.
[2-6] Hee-Wook You, Won-Ju Cho; Charge trapping properties of the HfO2 layer with various thicknesses for charge trap flash memory applications. Appl. Phys. Lett. 1 March 2010; 96 (9): 093506. https://doi.org/10.1063/1.3337103
[2-7] Chang-Hyun Lee, Sung-Hoi Hur, You-Cheol Shin, Jeong-Hyuk Choi, Dong-Gun Park, Kinam Kim; Charge-trapping device structure of SiO2/SiN/high-k dielectric Al2O3 for high-density flash memory. Appl. Phys. Lett. 11 April 2005; 86 (15): 152908. https://doi.org/10.1063/1.1897431
[2-8] K. Ni et al., "Critical Role of Interlayer in Hf0.5Zr0.5O2 Ferroelectric FET Nonvolatile Memory Performance," in IEEE Transactions on Electron Devices, vol. 65, no. 6, pp. 2461-2469, June 2018, doi: 10.1109/TED.2018.2829122.
[2-9] S. Zhao et al., "Experimental Extraction and Simulation of Charge Trapping During Endurance of FeFET With TiN/HfZrO/SiO2/Si (MFIS) Gate Structure," in IEEE Transactions on Electron Devices, vol. 69, no. 3, pp. 1561-1567, March 2022, doi: 10.1109/TED.2021.3139285.
[2-10] E. Yurchuk, S. Mueller, D. Martin, S. Slesazeck, U. Schroeder, T. Mikolajick, J. Müller, J. Paul, R. Hoffmann, J. Sundqvist, T. Schlösser, R. Boschke, R. van Bentum, and M. Trentzsch, “Origin of the endurance degradation in the novel HfO2-based 1T ferroelectric non-volatile memories,” 2014 IEEE International Reliability Physics Symposium, 2014, pp. 2E.5.1-2E.5.5, doi: 10.1109/IRPS.2014.6860603.
[2-11] C. -Y. Chan, K. -Y. Chen, H. -K. Peng and Y. -H. Wu, “FeFET Memory Featuring Large Memory Window and Robust Endurance of Long-Pulse Cycling by Interface Engineering using High-k AlON,” 2020 IEEE Symposium on VLSI Technology, 2020, pp. 1-2, doi: 10.1109/VLSITechnology18217.2020.9265103.
[2-12] H.-K. Peng, C.-Y. Chan, K.-Y. Chen, and Y.-H. Wu, “Enabling large memory window and high reliability for FeFET memory by integrating AlON interfacial layer,” Applied Physics Letters, vol. 118, issue 10, pp. 103503, 2021, doi: 10.1063/5.0036824
[2-13] T. Ali, P. Polakowski, S. Riedel, T. Büttner, T. Kämpfe, M. Rudolph, B. Pätzold, K. Seidel, D. Löhr, R. Hoffmann, M. Czernohorsky, K. Kühnel, P. Steinke, J. Calvo, K. Zimmermann, and J. Müller, “High Endurance Ferroelectric Hafnium Oxide-Based FeFET Memory Without Retention Penalty,” in IEEE Transactions on Electron Devices, vol. 65, no. 9, pp. 3769-3774, Sept. 2018, doi: 10.1109/TED.2018.2856818.
[2-14] H. Mulaosmanovic, S. Dünkel, J. Müller, M. Trentzsch, S. Beyer, E. T. Breyer , T. Mikolajick ,and S. Slesazeck, “Impact of Read Operation on the Performance of HfO2-Based Ferroelectric FETs,” in IEEE Electron Device Letters, vol. 41, no. 9, pp. 1420-1423, Sept. 2020, doi: 10.1109/LED.2020.3007220.
第三章
[3-1] M. Hoffmann, U. Schroeder, T. Schenk, T. Shimizu, H. Funakubo, O. Sakata, D. Pohl, M. Drescher, C. Adelmann, R. Materlik, A. Kersch, and T. Mikolajick, “Stabilizing the ferroelectric phase in doped hafnium oxide,” Journal of Applied Physics, 118, 072006 (2015), doi: 10.1063/1.4927805
第四章
[4-1] Sebastian, A., Le Gallo, M., Khaddam-Aljameh, R. et al. Memory devices and applications for in-memory computing. Nat. Nanotechnol. 15, 529–544 (2020). https://doi.org/10.1038/s41565-020-0655-z .
[4-2] Yang, R. In-memory computing with ferroelectrics. Nat Electron 3, 237-238 (2000). http://doi.org/10.1038/s41928-020-0411-2
[4-3] J. Backus, “Can programming be liberated from the von Neumann style? a functional style and its algebra of programs,” Communications of ACM, Volume 21 Issue 8, 1978, pp 613–641, doi: 10.1145/359576.359579.
[4-4] S. -C. Yan et al., "High Speed and Large Memory Window Ferroelectric HfZrO₂ FinFET for High-Density Nonvolatile Memory," in IEEE Electron Device Letters, vol. 42, no. 9, pp. 1307-1310, Sept. 2021, doi: 10.1109/LED.2021.3097777.
[4-5] H. Mulaosmanovic et al., "Novel ferroelectric FET based synapse for neuromorphic systems," 2017 Symposium on VLSI Technology, Kyoto, Japan, 2017, pp. T176-T177, doi: 10.23919/VLSIT.2017.7998165.
第五章
[5-1] D. Nagy, G. Indalecio, A. J. GarcíA-Loureiro, M. A. Elmessary, K. Kalna and N. Seoane, "FinFET Versus Gate-All-Around Nanowire FET: Performance, Scaling, and Variability," in IEEE Journal of the Electron Devices Society, vol. 6, pp. 332-340, 2018, doi: 10.1109/JEDS.2018.2804383.
[5-2] Beom Yong Kim, Baek Su Kim, Seung Dam Hyun, Ho Hyun Kim, Yong Bin Lee, Hyun Woo Park, Min Hyuk Park, Cheol Seong Hwang; Study of ferroelectric characteristics of Hf0.5Zr0.5O2 thin films grown on sputtered or atomic-layer-deposited TiN bottom electrodes. Appl. Phys. Lett. 13 July 2020; 117 (2): 022902. https://doi.org/10.1063/5.0011663
[5-3] Wang, C. I., Wang, C. Y., Chang, T. J., Jiang, Y. S., Shyue, J. J., Lin, H. C., & Chen, M. J. (2021). Atomic layer deposited TiN capping layer for sub-10 nm ferroelectric Hf0. 5Zr0. 5O2 with large remnant polarization and low thermal budget. Applied Surface Science, 570, 151152.
[5-4] K. Endo et al., "Low temperature microwave annealed FinFETs with less Vth variability," 2016 International Symposium on VLSI Technology, Systems and Application (VLSI-TSA), Hsinchu, Taiwan, 2016, pp. 1-2, doi: 10.1109/VLSI-TSA.2016.7480527.
 
 
 
 
第一頁 上一頁 下一頁 最後一頁 top
* *