帳號:guest(3.137.182.116)          離開系統
字體大小: 字級放大   字級縮小   預設字形  

詳目顯示

以作者查詢圖書館館藏以作者查詢臺灣博碩士論文系統以作者查詢全國書目
作者(中文):陳柏旭
作者(外文):Chen, Bo-Xu
論文名稱(中文):研究通道晶面取向對CFET元件性能和電路性能的影響
論文名稱(外文):Investigation of Channel Orientation Effects on CFET Devices Characteristics and Circuit Performance
指導教授(中文):吳永俊
林育賢
指導教授(外文):Wu, Yung-Chun
Lin, Yu-Hsien
口試委員(中文):侯福居
胡心卉
口試委員(外文):Hou, Fu-Ju
HU, Hsin-Hui
學位類別:碩士
校院名稱:國立清華大學
系所名稱:工程與系統科學系
學號:111011544
出版年(民國):113
畢業學年度:112
語文別:中文
論文頁數:82
中文關鍵詞:互補式場效電晶體半導體電腦輔助模擬工具電性分析邏輯電路分析
外文關鍵詞:Complementary Field Effect Transistors(CFET)Technology Computer Aided Design (TCAD)Electrical analysisLogic circuit analysis
相關次數:
  • 推薦推薦:0
  • 點閱點閱:7
  • 評分評分:*****
  • 下載下載:0
  • 收藏收藏:0
本篇論文主要探討了在使用以矽作為通道材料的(100)晶面為主的奈米片互補式場效電晶體(Nanosheet CFET, NSCFET),以及針對電子在(100)晶面為主導的NMOS Nanosheet與(110)晶面為主導的PMOS FinFET 所形成的之互補式場效電晶體(N-Nanosheet P-FinFET CFET, NNSPFFCFET),並且使用了埋入式電源軌(Burrier Power Rail, BPR)以增加元件的接線空間,並減小元件體積,而在研究中我們將探討這種結構對於不同結構變化對於兩種CFET結構的影響,其中包含通道寬度(Channel width ,Wch)與奈米片厚度(Channel thickness, Tch),以及混和晶面結構下的PMOS通道寬度與PMOS鰭片高度(Fin hight, FH )。
根據模擬結果所示NSCFET在次臨界斜率以及DIBL中具有優勢,但由於NSCFET以(100)晶面為主,使其PMOS的Gm較低以及ID-VD不對稱,而NNSPFF CFET則是顯示出較高的Gm以及對稱的ID-VD,但由於FinFET結構的短通道效應較為明顯,使PMOS的SS以及DIBL較差。
接下來我們模擬了這兩種CFET架構的邏輯電路性能,以及其SRAM的雜訊邊界以及反向器之VTC並進行比較,這兩種結構展現出各自的優缺點。
總而言之,本研究比較了兩種CFET結構,並分析了其電性對結構的相依性,並可以有效延續摩爾定律至一奈米節點。
This paper primarily investigates nanosheet complementary field-effect transistors (Nanosheet CFET, NSCFET) using silicon as the channel material with a focus on the (100) crystal plane. It also explores complementary field-effect transistors formed with NMOS nanosheets dominated by the (100) crystal plane and PMOS FinFETs dominated by the (110) crystal plane (N-Nanosheet P-FinFET CFET, NNSPFFCFET). Additionally, buried power rails (BPR) are utilized to increase routing space and reduce device area. This study examines the impact of various structural changes on these two CFET structures, including channel width (Wch) and nanosheet thickness (Tch), as well as the PMOS channel width and PMOS fin height (FH) in mixed crystal plane structures.
According to the simulation results, NSCFET has advantages in subthreshold slope (SS) and drain-induced barrier lowering (DIBL). However, because NSCFET is primarily based on the (100) crystal plane, it results in lower PMOS transconductance (Gm) and asymmetric ID-VD characteristics. On the other hand, NNSPFF CFET shows higher Gm and symmetric ID-VD characteristics, but due to the significant short-channel effects of the FinFET structure, it has poorer SS and DIBL for the PMOS.

Next, we simulated the logic circuit performance of these two CFET architectures, as well as the noise margin of their SRAM and the VTC of their inverters, making comparisons between them. Each structure exhibits its own strengths and weaknesses.
In summary, this study compares the two CFET structures, analyzes the dependence of their electrical characteristics on structural variations, and demonstrates the potential to effectively extend Moore's Law to the N1 node.
摘要 i
Abstract ii
致謝 iv
目錄 v
圖目錄 vii
表目錄 xi
第一章 1
緒論 1
1.1 摩爾定律的演進 (More Moore) 1
1.2 互補式場效電晶體 (Complementary FET, CFET) 5
1.3 埋入式電源軌 (Burrier Power Rail) 10
1.4 不同晶向對於矽材料遷移率的影響(Surface Orientation Effect) 13
1.5 研究動機 (Motivation) 18
1.6 論文組織 (Thesis Organization) 21
第二章 23
機制探討 23
2.1 金屬氧化物半導體場效電晶體(Metal Oxide Semiconductor Field Effect Transistor, MOSFET) 23
2.2 MOSFET關鍵參數 26
2.2.1 臨界電壓(Threshold Voltage, VTH) 26
2.2.2 次臨界斜率(Subthreshold Swing ,SS) 26
2.2.3 汲極引發位能障降低(Drain Induced Barrier Lowering ,DIBL) 28
2.2.4 轉導(Transconductance ,Gm) 29
2.3 互補式金屬氧化物半導體(Complementary Metal Oxide Semiconductor ,CMOS) 30
第三章 33
TCAD模擬互補式場效電晶體 33
3.1 TCAD簡介 33
3.2 元件結構 34
3.3 通道寬度與厚度調變對於元件性能的影響 37
3.4 元件特性分析 49
第四章 52
邏輯電路性能分析 52
4.1 NSCFET之邏輯電路性能分析 54
4.2 NNSPFFCFET之邏輯電路性能分析 61
4.3 總結 69
第五章 73
5.1 結論 73
5.2 未來展望 75
參考文獻 76

第一章
[1-1] G. E. M Gordon E. Moore, “Cramming More Components onto Integrated Circuits,” Electronics, vol. 38, pp. 114-117, 1965.
[1-2] INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS™ 2020 UPDATE MORE MOORE.
[1-3] Seong-Dong Kim, et al., “Performance_trade-offs_in_FinFET_and_gate-all-around_device_architectures_for_7nm-node_and_beyond” 2015 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), DOI: 0.1109/S3S.2015.7333521.
[1-4] M. Radosavljević, et al., “Opportunities in 3-D stacked CMOS transistors” 2021 IEEE International Electron Devices Meeting (IEDM), DOI: 10.1109/IEDM19574.2021.9720633.
[1-5] INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS™ 2022 UPDATE MORE MOORE.
[1-6] Xusheng Wu, et al., “A Three-Dimensional Stacked Fin-CMOS Technology for High-Density ULSI Circuits” 2005 IEEE Transactions on Electron Devices (TED), DOI: 10.1109/TED.2005.854267.

[1-7] W. Rachmady, et al., “300mm Heterogeneous 3D Integration of Record Performance Layer Transfer Germanium PMOS with Silicon NMOS for Low Power High Performance Logic Applications” 2019 IEEE International Electron Devices Meeting (IEDM), DOI: 10.1109/IEDM19573.2019.8993626.
[1-8] C. -Y. Huang, et al., “3-D Self-aligned Stacked NMOS-on-PMOS Nanoribbon Transistors for Continued Moore’s Law Scaling” 2020 IEEE International Electron Devices Meeting (IEDM), DOI: 10.1109/IEDM13553.2020.9372066.
[1-9] Marko Radosavljevic, et al., “TAKING MOORE’S LAW TO NEW HEIGHTS” IEEE spectrum, DOI: 10.1109/MSPEC.2022.9976473.
[1-10] S. Liao, et al., “Complementary Field-Effect Transistor (CFET) Demonstration at 48nm Gate Pitch for Future Logic Technology Scaling” 2023 International Electron Devices Meeting (IEDM), DOI: 10.1109/IEDM45741.2023.10413672.
[1-11] H. Mertens, et al., “Nanosheet-based Complementary Field-Effect Transistors (CFETs) at 48nm Gate Pitch, and Middle Dielectric Isolation to enable CFET Inner Spacer Formation and Multi-Vt Patterning” 2023 IEEE Symposium on VLSI Technology and Circuits(VLSITechnologyandCircuits),DOI:10.23919/VLSITechnologyandCir57934.2023.10185218.
[1-12] J. Ryckaert, et al., “The Complementary FET (CFET) for CMOS scaling beyond N3” 2018 IEEE Symposium on VLSI Technology, DOI: 10.1109/VLSIT.2018.8510618.

[1-13] S. Subramanian, et al., “First Monolithic Integration of 3D Complementary FET (CFET) on 300mm Wafers” 2020 IEEE Symposium on VLSI Technology, DOI: 10.1109/VLSITechnology18217.2020.9265073.
[1-14] B. Vincent, et al., “A Benchmark Study of Complementary-Field Effect Transistor (CFET) Process Integration Options Done by Virtual Fabrication” IEEE Journal of the Electron Devices Society, DOI: 10.1109/JEDS.2020.2990718.
[1-15] P. Schuddinck, et al., “Device-, Circuit- & Block-level evaluation of CFET in a 4 track library” 2019 Symposium on VLSI Technology, DOI: 10.23919/VLSIT.2019.8776513.
[1-16] Shixin Li, et al., “Vertically Stacked Nanosheet Number Optimization Strategy for Complementary FET (CFET) Scaling Beyond 2 nm” IEEE Transactions on Electron Devices, DOI: 10.1109/TED.2023.3323449.
[1-17] Wei-Cheng Kang, et al., “A Complementary FET (CFET)-Based NAND Design to Reduce RC Delay” IEEE Electron Device Letters, DOI: 10.1109/LED.2022.3157739.
[1-18] Xiaoqiao Yang, et al., “3-D Modeling of Fringe Gate Capacitance in Complementary FET (CFET)” IEEE Transactions on Electron Devices, DOI: 10.1109/TED.2022.3207974.
[1-19] Min Yang, et al., “Hybrid-Orientation Technology (HOT): Opportunities and Challenges” IEEE Transactions on Electron Devices, DOI: 10.1109/TED.2006.872693.
[1-20] Saakshi Gangwal, et al., “Optimization of Surface Orientation for High-Performance, Low-Power and Robust FinFET SRAM” IEEE Custom Integrated Circuits Conference 2006, DOI: 10.1109/CICC.2006.321009.

[1-21] Leland Chang, et al., “CMOS Circuit Performance Enhancement by Surface Orientation Optimization” IEEE Transactions on Electron Devices (TED), DOI: 10.1109/TED.2004.834912.
[1-22] Masaaki Kinugawa, et al., “Submicron 3D Surface-Orientation-Optimized CMOS Technology” 1986 Symposium on VLSI Technology. Digest of Technical Papers.
[1-23] M. Yang, et al., “High performance CMOS fabricated on hybrid substrate with different crystal orientations” IEEE International Electron Devices Meeting 2003, DOI: 10.1109/IEDM.2003.1269320.
[1-24] X.-R. Yu, et al., “First Demonstration of Vertical Stacked Hetero-Oriented n-Ge (111)/p-Ge (100) CFET toward Mobility Balance Engineering” 2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), DOI: 10.1109/VLSITechnologyandCir46769.2022.9830316.
[1-25] J. Wang, et al., “Challenges and Opportunities for Stacked Transistor: DTCO and Device” 2021 Symposium on VLSI Technology.
[1-26] M. Shamanna, et al., “E-Core Implementation in Intel 4 with PowerVia (Backside Power) Technology” 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI TechnologyandCircuits),DOI:10.23919/VLSITechnologyandCir57934.2023.10185369.
[1-27] SAMUEL K. MOORE, et al., “The company’s PowerVia interconnect tech demonstrated a 6 percent performance gain” IEEE Spectrum.

[1-28] G. Sisto, et al., “IR-Drop Analysis of Hybrid Bonded 3D-ICs with Backside Power Delivery and μ- & n- TSVs” 2021 IEEE International Interconnect Technology Conference (IITC), DOI: 10.1109/IITC51362.2021.9537541.
[1-29] Chun Wing Yeung, et al. “Channel Geometry Impact and Narrow Sheet Effect of Stacked Nanosheet” 2018 IEEE International Electron Devices Meeting (IEDM), DOI: 10.1109/IEDM.2018.8614608.
[1-30] Liu Jiang, et al., “Complementary FET Device and Circuit Level Evaluation Using Fin-Based and Sheet-Based Configurations Targeting 3nm Node and Beyond” 2020 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), DOI: 10.23919/SISPAD49475.2020.9241655.
第二章
[2-1] Sedra/Smith: Microelectronic Circuits, 8/e, ISBN: 0190853506.
[2-2] Semiconductor Physics and Devices: Basic Principles 4/e, ISBN: 9780071089029.
[2-3] Muhammad Sanaullah, et al., “Subthreshold Swing Characteristics of Multilayer MoS2 Tunnel FET” 2015 IEEE 58th International Midwest Symposium on Circuits and Systems (MWSCAS), DOI: 10.1109/MWSCAS.2015.7282101.
[2-4] Azzedin Es-Sakhi, et al., “Analytical model to estimate the subthreshold swing of SOI FinFET” 2013 IEEE 20th International Conference on Electronics, Circuits, and Systems (ICECS), DOI: 10.1109/ICECS.2013.6815343.
[2-5] S. M. Sze and M. K. Lee, “Physics of Semiconductor Devices 3rd,” John Wiley and Sons Inc., New Jersey, USA, 2012.
第三章
[3-1] Advanced Calibration for Device Simulation User Guide Version L-2016.03, March 2016,
Synopsys Sentaurus TCAD.
[3-2] Three-dimensional Simulation of 14/16 nm FinFETs With Round Fin Corners
and Tapered Fin Shape, Synopsys Sentaurus TCAD.
[3-3] Seung-Geun Jung, et al., “Performance Analysis on Complementary FET (CFET)
Relative to Standard CMOS With Nanosheet FET” IEEE Journal of the Electron Devices
Society, DOI: 10.1109/JEDS.2021.3136605.
[3-4] Chenming Hu, et al., “FinFET Modeling for IC Simulation and Design”, DOI:
10.1016/C2013-0-06812-0.
第四章
[4-1] Sedra/Smith: Microelectronic Circuits, 8/e, ISBN: 0190853506.
[4-2] Xiaoqiao Yang, et al., “3-D Modeling of Fringe Gate Capacitance in Complementary FET (CFET)” IEEE Transactions on Electron Devices, DOI: 10.1109/TED.2022.3207974.
[4-3] Malleshaiah G. V, et al., “Study of SRAM Cell for Balancing Read and Write Margins in
Sub-100nm Technology using Noise-Curve Method” International Journal of
Engineering Research & Technology (IJERT) Vol. 4 Issue 06, June2015.
[4-4] Seung-Geun Jung, et al., “Device Design Guidelines of 3-nm Node Complementary FET (CFET) in Perspective of Electrothermal Characteristics” IEEE Access, DOI: 10.1109/ACCESS.2022.3166934.
[4-5] Doyoung Jang, et al., “Device Exploration of Nano Sheet Transistors for Sub-7-nm Technology Node” IEEE Transactions on Electron Devices, DOI: 10.1109/TED.2017.2695455.
[4-6] Sentaurus Technology Template:CMOS Characterization, March 2016,Synopsys TCAD.
[4-7] Shixin Li, et al., “Vertically Stacked Nanosheet Number Optimization Strategy for Complementary FET (CFET) Scaling Beyond 2 nm” IEEE Transactions on Electron Devices, DOI: 10.1109/TED.2023.3323449.
[4-8] Xinlong Shi, et al., “A Simulation Study of SiGe Shell Channel CFET for Sub-2-nm Technology Nodes” IEEE Transactions on Electron Devices, DOI: 10.1109/TED.2023.3238393.
第五章
[5-1] Wei-Cheng Kang, et al., “A Complementary FET (CFET)-Based NAND Design to Reduce RC Delay” IEEE Electron Device Letters, DOI: 10.1109/LED.2022.3157739.
(此全文20270723後開放外部瀏覽)
電子全文
摘要
 
 
 
 
第一頁 上一頁 下一頁 最後一頁 top
* *