帳號:guest(18.222.108.185)          離開系統
字體大小: 字級放大   字級縮小   預設字形  

詳目顯示

以作者查詢圖書館館藏以作者查詢臺灣博碩士論文系統以作者查詢全國書目
作者(中文):劉和鑫
作者(外文):Liu, Ho-Hsin
論文名稱(中文):針對兩階段混合尺寸電路擺置問題的巨集翻轉技術
論文名稱(外文):Macro Flipping Techniques for Two-Stage Mixed-Size Placement
指導教授(中文):黃婷婷
指導教授(外文):Hwang, Ting-Ting
口試委員(中文):吳中浩
劉一宇
學位類別:碩士
校院名稱:國立清華大學
系所名稱:資訊工程學系
學號:110062652
出版年(民國):112
畢業學年度:111
語文別:英文
論文頁數:48
中文關鍵詞:巨集電路方向翻轉混合尺寸擺置線長
外文關鍵詞:macroplacementflippingorientationmixed-sizewirelengthcircuit
相關次數:
  • 推薦推薦:0
  • 點閱點閱:132
  • 評分評分:*****
  • 下載下載:0
  • 收藏收藏:0
現代混合尺寸電路通常包含數百萬個元件,其中只有少數是巨集元件。
過去已經有各種電路擺置演算法被發明來優化設計目標,其中電線長度的
最小化是關鍵的一環。電線長度最小化的研究主要集中在尋找巨集元件和
標準單元元件的最佳位置,和探索翻轉方向的處理方法。然而,在有關擺
置問題的文獻中,與電線長度優化相關的巨集元件翻轉問題相對較少受到
關注。
在這項研究中,我們探索了在兩階段擺置流程中用於巨集元件翻轉方
向的優化技術。兩階段擺置流程面臨的主要挑戰在於巨集元件和標準單元
元件之間的差距。為了解決這一挑戰,我們提出了兩種巨集元件的翻轉技
術,有助於彌合這一差距。第一種技術在簡化的且基於梯度的分析標準單
元元件擺置器內實現。第二種技術可用於任意的黑盒擺置器來獲得初始擺
置,從中求得巨集元件的翻轉方向。
通過實驗,我們證明當與著名的學術擺置器NTUplace3 結合使用時,
這兩種技術與一個單純的向內翻轉啟發式方法相比,能夠實現更短的電線
長度。這些發現強調了巨集元件翻轉在電線長度優化中的重要性,充實了
現有巨集元件和標準單元元件擺置演算法的研究。
Modern mixed-size circuits typically consist of millions of blocks,
with only a few of them being macros. Various placement algorithms
have been proposed to optimize design objectives, among which wire
length minimization plays a crucial role. The study of wire length minimization primarily focuses on finding optimal positions for macros
and standard cells, while also exploring orientation handling methods.
However, the problem of macro flipping, which contributes to wire
length optimization, has received relatively less attention in the placement literature.
In this work, we investigate the refinement of flipping orientations
for macros in a two-stage placement flow. A major challenge in two stage placement flows lies in the gap between the placement of macros
and standard cells. To address this challenge, we propose two macro
flipping techniques that help bridge this gap. The first technique is designed to be implemented within a simplified gradient-based analytical standard cell placer. The second technique relies on an arbitrary black box placer to obtain an initial placement, from which we determine the macro flipping orientations.
Through experimentation, we demonstrate that when coupled with
the well-known academic placer NTUplace3, both techniques achieve
shorter wire lengths compared to a simple inward-flipping heuristic.
These findings underscore the significance of macro flipping in wire
length optimization, complementing existing research on macro and
standard cell placement algorithms.
1 Introduction 1
1.1 Motivation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3
1.2 Our contributions . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5
2 Related Work 7
2.1 The one-stage approach . . . . . . . . . . . . . . . . . . . . . . . . . 7
2.2 The two-stage approach . . . . . . . . . . . . . . . . . . . . . . . . . 10
2.3 The floorplan-guided approach . . . . . . . . . . . . . . . . . . . . . 12
3 Problem Formulation and Design Flows 13
3.1 Terminologies and notations . . . . . . . . . . . . . . . . . . . . . . 13
3.2 Problem formulation . . . . . . . . . . . . . . . . . . . . . . . . . . . 14
3.3 Two design flows . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18
4 Our Proposed Techniques 20
4.1 A naïve approach . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20
4.2 Technique for tool-dependent design flow . . . . . . . . . . . . . . 21
4.3 Technique for tool-independent design flow . . . . . . . . . . . . . 27
5 Experimental Results 29
5.1 Experimental setup . . . . . . . . . . . . . . . . . . . . . . . . . . . 29
5.2 Benchmark statistics . . . . . . . . . . . . . . . . . . . . . . . . . . . 30
5.3 Results and comparison . . . . . . . . . . . . . . . . . . . . . . . . . 34
5.3.1 Evaluation of the naïve method . . . . . . . . . . . . . . . . 35
5.3.2 Effectiveness of the proposed technique for the tool-dependent
design flow . . . . . . . . . . . . . . . . . . . . . . . . . . . 37
5.3.3 Effectiveness of the proposed technique for the tool-independent
design flow . . . . . . . . . . . . . . . . . . . . . . . . . . . 38
5.3.4 Generalizability of MPC to other placers . . . . . . . . . . 41
5.3.5 General comparison . . . . . . . . . . . . . . . . . . . . . . 43
6 Conclusions 45
References 47
[1] T.-C. H. H.-C. C. Y.-W. C. Tung-Chieh Chen, Zhe-Wei Jiang, “NTUplace3: An analytical placer for large-scale mixed-size designs with preplaced blocks and density constraints,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 27, pp. 1228–1240, Jul 2008.
[2] M.-K. Hsu and Y.-W. Chang, “Unified analytical global placement for large scale mixed-size circuit designs,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 31, pp. 1366–1378, Sep 2012.
[3] Y.-W. C. F.-J. H. Tung-Chieh Chen, Ping-Hung Yuh and D. Liu, “MP-trees: a packing-based macro placement algorithm for mixed-size designs,” IEEE TCAD, vol. 27, pp. 1621–1634, Sep 2008.
[4] Y.-W. C. Chin-Hao Chang and T.-C. Chen, “A novel damped-wave framework for macro placement,” Proc. ICCAD, pp. 504–511, Nov 2017.
[5] S.-T. C. Y.-W. C. Chien-Hsiung Chiou, Chin-Hao Chang, “Circular-contour based obstacle-aware macro placement,” Proc. of ASP-DAC, pp. 172–177, Jan 2016.
[6] Y.-W. C. Hsin-Chen Chen, Yi-Lin Chuang and Y.-C. Chang, “Constraint graph-based macro placement for modern mixed-size circuit designs,” Proc. ICCAD, pp. 218–223, Sep 2008.
[7] C.-H. C. Y.-W. C. C.-J. W. Yi-Fang Chen, Chau-Chin Huang, “Routability driven blockage-aware macro placement,” Proc. of DAC, pp. 1–6, Jun 2014.
[8] Personal communication.
[9] N. V. J. Z. Yan and C. Chu, “An effective floorplan-guided placement algorithm for large-scale mixed-size designs,” ACM Transactions on Design Automation of Electronic Systems, vol. 19, pp. 1–25, Jun 2014.
[10] J. P.-M. G.-O. Alex Vidal-Obiols, Jordi Cortadella and F. Martorell, “Multilevel dataflow-driven macro placement guided by rtl structure and analytical methods,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 40, pp. 2542–2555, Dec 2021.
[11] K. S. Tony Chan, Jason Cong, “Multilevel generalized force-directed method for circuit placement,” Proceedings of the 2005 international symposium on Physical design, pp. 185–192, Apr 2005.
 
 
 
 
第一頁 上一頁 下一頁 最後一頁 top
* *