帳號:guest(3.135.215.210)          離開系統
字體大小: 字級放大   字級縮小   預設字形  

詳目顯示

以作者查詢圖書館館藏以作者查詢臺灣博碩士論文系統以作者查詢全國書目
作者(中文):張致祥
作者(外文):Chang, Chih-Hsiang
論文名稱(中文):後段製程相容之超晶格氧化鉿鋯複晶矽之鐵電薄膜電晶體與其非揮發性記憶體之應用
論文名稱(外文):Study of BEOL Compatible Ferroelectric Superlattice HfO2-ZrO2 Poly-Si Thin-film-transistor and its Non-volatile Memory Applications
指導教授(中文):吳永俊
指導教授(外文):Wu, Yung-Chun
口試委員(中文):朱鵬維
侯福居
口試委員(外文):Chu, Peng-Wei
Hou, Fu-Ju
學位類別:碩士
校院名稱:國立清華大學
系所名稱:工程與系統科學系
學號:110011572
出版年(民國):112
畢業學年度:111
語文別:中文
論文頁數:69
中文關鍵詞:後段製程綠光奈秒雷射結晶複晶矽薄膜電晶體鐵電非揮發性記憶體多層堆疊氧化鉿氧化鋯鐵電層
外文關鍵詞:BEOLlaser crystallizationPoly-SiThin-film-transistorNonvolatile Memorylaminated HfO2/ZrO2
相關次數:
  • 推薦推薦:0
  • 點閱點閱:171
  • 評分評分:*****
  • 下載下載:0
  • 收藏收藏:0
隨著現今科技的日新月異,我們的生活已經與半導體產品緊密相連,特別是電晶體和記憶體元件,它們是半導體產品中最基礎的組成部分。各類半導體產品強調高效能、低功耗及縮小尺寸等訴求,然而隨著摩爾定律的演進,在微縮的過程中,我們經常會面臨製程技術上的困難,包括低熱預算的問題以及元件前後段垂直整合的挑戰,這些連續而來的問題是我們所必須克服的。
基於上述這些想法,本篇研究我們使用低溫綠光奈秒雷射結晶非晶矽以及低溫微波退火製程來進行摻雜活化及鐵電層結晶,這兩項技術可以有效降低的熱預算,並有機會實現在單晶片上的三維積體電路整合。另外,電晶體的閘極氧化層選用超晶格氧化鉿鋯,該材料的鐵電性質產生的負電容效應有望打破物理的極限,得到較低的次臨界擺幅。由相同材料製造的鐵電電晶體非揮發性記憶體,相較於傳統的記憶體,擁有更低的功耗以及更高的操作速度,有機會實現記憶體內的運算,突破馮·紐曼架構的框架。
本篇研究將被分成兩部分,我們以電容匹配模型作為理論基礎,分別製作適用於邏輯元件和記憶體元件的鐵電電晶體。
第一部分為鐵電薄膜電晶體。元件製作於絕緣層上覆非晶矽基板,經由綠光奈秒雷射掃描,將非晶矽薄膜結晶成複晶矽。隨後電子束微影進行主動區的定義,再利用非等向性蝕刻形成薄膜的結構,此薄膜結構可稍微節省一些熱預算。接著,透過原子層沉積得到12 nm之超晶格氧化鉿鋯氧化層,並以氮化鈦作為閘極金屬。量測的結果得到次臨界擺幅最小來到75 mV/dec,其Ion/Ioff的比值有不錯的1.6 × 106,對於DIBL及GIDL漏電流都有著良好的抑制能力。
第二部分為鐵電薄膜電晶體非揮發性記憶體。此元件與前者有著相同的製程,其中值得一提的是,我們使用SiO2作為介面層來改善通道與氧化層之間的介面品質,以及12 nm的超晶格氧化鉿擁有較低的缺陷密度,藉由這兩者提升記憶窗口。鐵電電晶體非揮發性記憶體在 ± 4 V直流正反掃量測時,萃取出最大1.21 V的記憶窗口;而透過脈衝量測,施加 ± 5 V, 100 ns的脈衝進行寫入/抹除操作,此方法量測到之記憶窗口為1.26 V,相較於直流正反掃略大一點。其耐用度達到103cycle,耐久度可達104秒以上並保持極佳的特性。
無論是用於邏輯元件或非揮發性記憶體元件,鐵電電晶體都展現出不錯的性能,再加上它與現今的CMOS製程相容的特點,使其在未來半導體產業的應用上具有巨大的發展潛力。
With the advancement of today's technology, our lives are closely connected with semiconductor products, especially transistors and memory devices, which are the most fundamental components of semiconductor products. Semiconductor products emphasize high performance, low power consumption, and reduced size. However, with the evolution of Moore's Law, in the process of shrinking, we are often confronted with process technology difficulties, including the problem of low thermal budgets and the challenge of vertically integrating the front end and back end of the device, which are consecutive problems that we have to overcome.
Based on these ideas, in this study, we use low-temperature green nanosecond laser to crystallize amorphous silicon into polycrystalline silicon and a low-temperature microwave annealing process for dopant activation and ferroelectric layer crystallization, which can effectively reduce the thermal budget and potentially enable three-dimensional integrated circuit integration on a single chip. In addition, the gate oxide layer of the ferroelectric thin-film-transistor (FeTFT) is made of superlattice HfO2-ZrO2 (SLHZO), whose ferroelectricity produces a negative capacitance effect that is expected to break the physical limit and result in lower subthreshold swing (SS). The ferroelectric thin-film-transistor Non-volatile Memory (FeTFT NVM) made of the same material has lower power consumption and higher operating speed than the conventional memory, and it has the opportunity to realize in-memory computing and break through the framework of the Von Neumann architecture.
This research will be divided into two parts, in which we use the capacitance matching model as the theoretical basis to fabricate ferroelectric transistors for logic devices and memory devices, respectively.
The first part is a FeTFT. The device is fabricated on an amorphous silicon substrate covered with an insulating layer, and the amorphous silicon film is crystallized into polycrystalline silicon by green nanosecond laser scanning. Subsequently, the active region is defined by electron beam lithography, and a thin film structure is formed by anisotropic etching, which saves some thermal budget. Next, a 12 nm SLHZO layer was obtained by atomic layer deposition, and titanium nitride was used as the gate metal. The measurement results show that the subthreshold swing is minimized to 75 mV/dec, and the Ion/Ioff ratio is 1.6 × 106, which is suitable for DIBL and GIDL leakage current suppression.
The second part is a FeTFT NVM. This device has the same process as the previous one, and it is worth mentioning that we use SiO2 as the interfacial layer to improve the interface quality between the channel and the oxide layer, and the 12 nm SLHZO has a lower defect density, which enhances the memory window. FeTFT NVM extracted a maximum memory window of 1.21 V during ± 4 V DC forward/backward sweep measurements, while the memory window measured by pulse measurement, applying ± 5 V, 100 ns pulse for write/erase operations, was 1.26 V, which is slightly larger than that of DC forward/backward sweep. The durability reaches 103 cycles and the endurance reaches 104 seconds or more and maintains excellent characteristics.
FeTFT shows excellent performance in logic or non-volatile memory devices, and their compatibility with today's CMOS processes makes them great potential for future semiconductor industry applications.
目錄
摘要 i
Abstract iii
致謝 vi
目錄 vii
圖目錄 x
表目錄 xii
第一章 1
緒論 1
1.1 電晶體的演進及挑戰 (Scaling of Transistor) 1
1.2 二氧化鉿基的鐵電材料 (HfO2-based ferroelectric material) 5
1.3 超晶格氧化鉿鋯鐵電電容 (HfO2-ZrO2 Superlattice Ferroeletric Capacitor) 8
1.4 鐵電電晶體非揮發記憶體 (Ferroelectric Field-Effect-Transistor Non-Volatile Memory) 10
1.5 低熱預算之製程技術 (Low Thermal Budget process technology) 12
1.5.1 綠光奈秒脈衝雷射結晶 (Green Nanosecond-Pulse Laser Crystallization) 12
1.5.2 微波退火 (Microwave Annealing) 14
第二章 16
機制探討 16
2.1 負電容效應 (Negative capacitance effect) 16
2.2 Landau-Khalatnikov (L-K) Equation Model 18
2.3 遲滯現象的機制 (Mechanism of Hysteresis) 20
2.3.1 鐵電氧化層厚度 (Thickness of FE oxide) 20
2.3.2 電容匹配 (Capacitance Matching) 21
2.4 電荷捕捉 (Charge Trapping) 24
2.5 介面層 (Interfacial Layer) 26
2.6 讀取操作之影響 (Impact of Read Operation) 28
第三章 32
鐵電薄膜電晶體 32
3.1 實驗動機 (Motivation) 32
3.2 製程步驟 (Device Fabrication) 33
3.3 穿透式電子顯微鏡與能量散射x光能譜分析 (TEM, and EDS Analysis) 38
3.4 電晶體電特性分析 (Electric Characteristics Analysis) 41
第四章 46
鐵電薄膜電晶體非揮發性記憶體 46
4.1 實驗動機 (Motivation) 46
4.2 製程步驟 (Device Fabrication) 48
4.3 記憶體電特性之分析 (Memory Window and Switching Speed Analysis) 51
4.4 可靠度分析 (Reliability Analysis) 55
第五章 59
結論與未來展望 59
5.1 結論 (Conclusion) 59
5.2 未來展望 (Future Work) 60
參考文獻 61

第一章
[1-1] International Roadmap for Devices and Systems (IRDS™) 2021 Edition: https://irds.ieee.org/editions/2021
[1-2] D. Hisamoto, W.-C. Lee, J. Kedzierski, E. Anderson, H. Takeuchi, K. Asano, T.-J. King, J. Bokor, Chenming Hu, "A folded-channel MOSFET for deep-sub-tenth micron era," International Electron Devices Meeting 1998. Technical Digest (Cat. No.98CH36217), 1998, pp. 1032-1034, doi: 10.1109/IEDM.1998.746531.
[1-3] Meng-Ju Tsai, Kang-Hui Peng, Chong-Jhe Sun, Siao-Cheng Yan, Chieng-Chung Hsu, Yu-Ru Lin, Yu-Hsien Lin, and Yung-Chun Wu*, "Fabrication and Characterization of Stacked Poly-Si Nanosheet With Gate-All-Around and Multi-Gate Junctionless Field Effect Transistors," IEEE Journal of the Electron Devices Society, vol. 7, pp. 1133-1139, 2019, doi: 10.1109/JEDS.2019.2952150.
[1-4] Bin Yu, L. Chang, S. Ahmed, H. Wang, S. Bell, C.-Y. Yang, C. Tabery, C. Ho, Q. Xiang, T.-J. King, J. Bokor, Chenming Hu, M.-R. Lin, and D. Kyser, "FinFET scaling to 10 nm gate length," Digest. International Electron Devices Meeting,, 2002, pp. 251-254, doi: 10.1109/IEDM.2002.1175825.
[1-5] J. Robertson, “High dielectric constant oxides,” The European Physical Journal Applied Physics, vol. 28, no. 3, pp. 265-291, 2004, doi: 10.1051/epjap:2004206.
[1-6] M. H. Park, T. Schenk, C. M. Fancher, E. D. Grimley, C. Zhou, C. Richter, J. M. LeBeau, J. L. Jones, T. Mikolajick, and U. Schroeder, “A comprehensive study on the structural evolution of HfO2 thin films doped with various dopants,” Journal of Materials Chemistry C, vol. 5, no. 19, pp. 4677-4690, 2017, doi: 10.1039/c7tc01200d.
[1-7] J. Müller, T. S. Böscke, U. Schröder, S. Mueller, D. Bräuhaus, U. Böttger, L. Frey, and T. Mikolajick, “Ferroelectricity in Simple Binary ZrO2 and HfO2,” Nano Letters, vol. 12, no. 8, pp. 4318-4323, 2012, doi: 10.1021/nl302049k.
[1-8] Y. Peng et al., "HfO2-ZrO2 Superlattice Ferroelectric Capacitor With Improved Endurance Performance and Higher Fatigue Recovery Capability," in IEEE Electron Device Letters, vol. 43, no. 2, pp. 216-219, Feb. 2022, doi: 10.1109/LED.2021.3135961..
[1-9] M. Saitoh, Y. Nakabayashi, K. Uchida and T. Numata, “Short-Channel Performance Improvement by Raised Source/Drain Extensions With Thin Spacers in Trigate Silicon Nanowire MOSFETs,” IEEE Electron Device Letters, vol. 32, no. 3, pp. 273-275, March 2011, doi: 10.1109/LED.2010.2101043.
[1-10] J. Backus, “Can programming be liberated from the von Neumann style? a functional style and its algebra of programs,” Communications of ACM, Volume 21 Issue 8, 1978, pp 613–641, doi: 10.1145/359576.359579.
[1-11] S. Slesazeck, and T. Mikolajick, “Nanoscale resistive switching memory devices: a review,” Nanotechnology, Volume 30, Number 35, June 2019, doi: 10.1088/1361-6528/ab2084
[1-12] H. Amrouch, J.-J. Chen, K. Roy, Y. Xie, I. Chakraborty, W. Huangfu, L. Liang, F. Tu, C. Wang, and M. Yayla, “Brain-Inspired Computing: Adventure from Beyond MOS Technologies to Beyond von Neumann Architectures,” 2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD), 2021, pp. 1-9, doi: 10.1109/ICCAD51958.2021.9643488.
[1-13] S. Beyer et al., “FeFET: A versatile CMOS compatible device with game-changing potential,” 2020 IEEE International Memory Workshop (IMW), 2020, pp. 1-4, doi: 10.1109/IMW48823.2020.9108150.
[1-14] T. Mikolajick, S. Slesazeck, M.-H. Park, and U. Schroeder, “Ferroelectric hafnium oxide for ferroelectric random-access memories and ferroelectric field-effect transistors,” MRS Bulletin, vol. 43, no. 5, pp. 340-346, 2018, doi:10.1557/mrs.2018.92.
[1-15] H. Mulaosmanovic, S. Slesazeck, J. Ocker, M. Pesic, S. Müller, S. Flachowsky, J. Müller, P. Polakowski, J. Paul, S. Jansen, S. Kolodinski, C. Richter, S. Piontek, T. Schenk, A. Kersch, C. Künneth, R. van Bentum, U. Schröder, T. Mikolajick, “Evidence of single domain switching in hafnium oxide based FeFETs: Enabler for multi-level FeFET memory cells,” 2015 IEEE International Electron Devices Meeting (IEDM), 2015, pp. 26.8.1-26.8.3, doi: 10.1109/IEDM.2015.7409777.
[1-16] S. De, D. D. Lu, H.-H. Le, S. Mazumder, Y.-J. Lee, W.-C. Tseng, B.-H. Qiu, M. A. Baig, P.-J. Sung, C.-J. Su, C.-T. Wu, W.-F. Wu, W.-K. Yeh, Y.-H. Wang, “Ultra-Low Power Robust 3bit/cell Hf0.5Zr0.5O2 Ferroelectric FinFET with High Endurance for Advanced Computing-In-Memory Technology,” 2021 Symposium on VLSI Technology, 2021, pp. 1-2.
[1-17] C. -C. Yang et al., "Record-high 121/62 μA/μm on-currents 3D stacked epi-like Si FETs with and without metal back gate," 2013 IEEE International Electron Devices Meeting, Washington, DC, USA, 2013, pp. 29.6.1-29.6.4, doi: 10.1109/IEDM.2013.6724719.
[1-18] H. -T. Chung et al., "Effect of Crystallinity on the Electrical Characteristics of Poly-Si Tunneling FETs via Green Nanosecond Laser Crystallization," in IEEE Electron Device Letters, vol. 42, no. 2, pp. 164-167, Feb. 2021, doi: 10.1109/LED.2021.3049329.
[1-19] Y.-J. Ye et al., "Green Poly-Si TFTs: RF Breakthroughs (fT/fmax=63.6/30 GHz) by an Ingenious Process Design for IoT Modules on Everything," 2021 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2021, pp. 4.3.1-4.3.4, doi: 10.1109/IEDM19574.2021.9720701.
[1-20] K. Endo et al., "Low temperature microwave annealed FinFETs with less Vth variability," 2016 International Symposium on VLSI Technology, Systems and Application (VLSI-TSA), Hsinchu, Taiwan, 2016, pp. 1-2, doi: 10.1109/VLSI-TSA.2016.7480527.
第二章
[2-1] S. Salahuddin and S. Datta, “Use of Negative Capacitance to Provide Voltage Amplification for Low Power Nanoscale Devices,” Nano Letters, vol. 8, pp. 405-410, 2008, doi:10.1021/nl071804g.
[2-2] Khan, A., Chatterjee, K., Wang, B. et al. “Negative capacitance in a ferroelectric capacitor.” Nature Material 14, 182–186 (2015). https://doi.org/10.1038/nmat4148
[2-3] Muhammad A. Alam, “ A Tutorial Introduction to Negative Capacitor Field Effect Transistors,” 2015/10/03, https://nanohub.org/resources/23157/about.
[2-4] A. I. Khan, K. Chatterjee, J. P. Duarte, Z. Lu, A. Sachid, S. Khandelwal, R. Ramesh, C. Hu, and S. Salahuddin, “Negative Capacitance in Short-Channel FinFETs Externally Connected to an Epitaxial Ferroelectric Capacitor,” IEEE Electron Device Letters, vol. 37, no. 1, pp. 111-114, Jan. 2016, doi: 10.1109/LED.2015.2501319.
[2-5] M. Si, C. Jiang, C. J. Su, Y. T. Tang, L. Yang, W. Chung, M. A. Alam, and P. D. Ye, “Sub-60 mV/dec Ferroelectric HZO MoS2 Negative Capacitance Field-effect Transistor with Internal Metal Gate: the Role of Parasitic Capacitance,” 2017 IEEE International Electron Devices Meeting (IEDM), 2017, pp. 23.5.1-23.5.4, doi: 10.1109/IEDM.2017.8268447.
[2-6] H.-P. Lee, C.-L. Yu, W.-X. You and P. Su, “Investigation and comparison of design space for ultra-thin-body GeOI/SOI negative capacitance FETs,” 2017 International Symposium on VLSI Technology, Systems and Application (VLSI-TSA), 2017, pp. 1-2, doi: 10.1109/VLSI-TSA.2017.7942460.
[2-7] C. Jiang, R. Liang and J. Xu, “Investigation of Negative Capacitance Gate-all-Around Tunnel FETs Combining Numerical Simulation and Analytical Modeling,” in IEEE Transactions on Nanotechnology, vol. 16, no. 1, pp. 58-67, Jan. 2017, doi: 10.1109/TNANO.2016.2627808.
[2-8] A. Saeidi, F. Jazaeri, F. Bellando, I. Stolichnov, G. V. Luong, Q.-T. Zhao, S. Mantl, C. C. Enz, and A. M. Ionescu, “Negative Capacitance as Performance Booster for Tunnel FETs and MOSFETs: An Experimental Study,” in IEEE Electron Device Letters, vol. 38, no. 10, pp. 1485-1488, Oct. 2017, doi: 10.1109/LED.2017.2734943.
[2-9] W. Cao, K. Banerjee, “Is negative capacitance FET a steep-slope logic switch?.” in Nature Communications, 11, 196, 2020, doi: 10.1038/s41467-019-13797-9.
[2-10] A. Saeidi, F. Jazaeri, F. Bellando, I. Stolichnov, C. C. Enz and A. M. Ionescu, “Negative capacitance field effect transistors; capacitance matching and non-hysteretic operation,” 2017 47th European Solid-State Device Research Conference (ESSDERC), 2017, pp. 78-81, doi: 10.1109/ESSDERC.2017.8066596.
[2-11] T. Yu, W. Lü, Z. Zhao, P. Si, and K. Zhang, “Effect of different capacitance matching on negative capacitance FDSOI transistors,” in Microelectronics Journal, volume 98, April 2020, 104730, doi: 10.1016/j.mejo.2020.104730.
[2-12] H. Agarwal, P. Kushwaha, Y.-K. Lin , M.-Y. Kao , Y.-H. Liao, A. Dasgupta , S. Salahuddin , and C. Hu, “Proposal for Capacitance Matching in Negative Capacitance Field-Effect Transistors,” in IEEE Electron Device Letters, vol. 40, no. 3, pp. 463-466, March 2019, doi: 10.1109/LED.2019.2891540.
[2-13] C. W. Yeung, A. I. Khan, S. Salahuddin and C. Hu, “Device design considerations for ultra-thin body non-hysteretic negative capacitance FETs,” 2013 Third Berkeley Symposium on Energy Efficient Electronic Systems (E3S), 2013, pp. 1-2, doi: 10.1109/E3S.2013.6705876.
[2-14] A. Kerber, E. Cartier, L. Pantisano, M. Rosmeulen, R. Degraeve, T. Kauerauf, G. Groeseneken, H.E. Maes, and U. Schwalke, “Characterization of the VT instability in SiO2 HfO2 gate dielectrics,” 2003 IEEE International Reliability Physics Symposium Proceedings, 2003. 41st Annual., 2003, pp. 41-45, doi: 10.1109/RELPHY.2003.1197718.
[2-15] E. Yurchuk, S. Mueller, D. Martin, S. Slesazeck, U. Schroeder, T. Mikolajick, J. Müller, J. Paul, R. Hoffmann, J. Sundqvist, T. Schlösser, R. Boschke, R. van Bentum, and M. Trentzsch, “Origin of the endurance degradation in the novel HfO2-based 1T ferroelectric non-volatile memories,” 2014 IEEE International Reliability Physics Symposium, 2014, pp. 2E.5.1-2E.5.5, doi: 10.1109/IRPS.2014.6860603.
[2-16] K. Ni, P. Sharma, J. Zhang, M. Jerry, J. A. Smith, K. Tapily, R. Clark, S. Mahapatra, and S. Datta, “Critical Role of Interlayer in Hf0.5Zr0.5O2 Ferroelectric FET Nonvolatile Memory Performance,” in IEEE Transactions on Electron Devices, vol. 65, no.6, pp.2461- 2469, June 2018, doi: 10.1109/TED.2018.2829122.
[2-17] E. Yurchuk, J. Müller, S. Müller, J. Paul, M. Pešic, R. van Bentum, U. Schroeder, and T. Mikolajick, “Charge-Trapping Phenomena in HfO2-Based FeFET-Type Nonvolatile Memories,” in IEEE Transactions on Electron Devices, vol. 63, no. 9, pp. 3501-3507, Sept. 2016, doi: 10.1109/TED.2016.2588439.
[2-18] C. -Y. Chan, K. -Y. Chen, H. -K. Peng and Y. -H. Wu, “FeFET Memory Featuring Large Memory Window and Robust Endurance of Long-Pulse Cycling by Interface Engineering using High-k AlON,” 2020 IEEE Symposium on VLSI Technology, 2020, pp. 1-2, doi: 10.1109/VLSITechnology18217.2020.9265103.
[2-19] H.-K. Peng, C.-Y. Chan, K.-Y. Chen, and Y.-H. Wu, “Enabling large memory window and high reliability for FeFET memory by integrating AlON interfacial layer,” Applied Physics Letters, vol. 118, issue 10, pp. 103503, 2021, doi: 10.1063/5.0036824
[2-20] T. Ali, P. Polakowski, S. Riedel, T. Büttner, T. Kämpfe, M. Rudolph, B. Pätzold, K. Seidel, D. Löhr, R. Hoffmann, M. Czernohorsky, K. Kühnel, P. Steinke, J. Calvo, K. Zimmermann, and J. Müller, “High Endurance Ferroelectric Hafnium Oxide-Based FeFET Memory Without Retention Penalty,” in IEEE Transactions on Electron Devices, vol. 65, no. 9, pp. 3769-3774, Sept. 2018, doi: 10.1109/TED.2018.2856818.
[2-21] H. Mulaosmanovic, S. Dünkel, J. Müller, M. Trentzsch, S. Beyer, E. T. Breyer , T. Mikolajick ,and S. Slesazeck, “Impact of Read Operation on the Performance of HfO2-Based Ferroelectric FETs,” in IEEE Electron Device Letters, vol. 41, no. 9, pp. 1420-1423, Sept. 2020, doi: 10.1109/LED.2020.3007220.
第三章
[3-1] M. Hoffmann, U. Schroeder, T. Schenk, T. Shimizu, H. Funakubo, O. Sakata, D. Pohl, M. Drescher, C. Adelmann, R. Materlik, A. Kersch, and T. Mikolajick, “Stabilizing the ferroelectric phase in doped hafnium oxide,” Journal of Applied Physics, 118, 072006 (2015), doi: 10.1063/1.4927805
[3-2] Y. -K. Liang et al., "ZrO2-HfO2 Superlattice Ferroelectric Capacitors With Optimized Annealing to Achieve Extremely High Polarization Stability," in IEEE Electron Device Letters, vol. 43, no. 9, pp. 1451-1454, Sept. 2022, doi: 10.1109/LED.2022.3193383.
第四章
[4-1] H. Mulaosmanovic, J. Ocker, S. Müller, M. Noack, J. Müller, P. Polakowski, T. Mikolajick, and S. Slesazeck, “Novel ferroelectric FET based synapse for neuromorphic systems,” 2017 Symposium on VLSI Technology, 2017, pp. T176-T177, doi: 10.23919/VLSIT.2017.7998165.
[4-2] Chuan-Pu Chou, Yan-Xiao Lin, Yu-Kai Huang, Chih-Yu Chan, and Yung-Hsien Wu, “Junctionless Poly-GeSn Ferroelectric Thin-Film Transistors with Improved Reliability by Interface Engineering for Neuromorphic Computing” ACS Applied Materials & Interfaces 2020 12 (1), 1014-1023, doi: 10.1021/acsami.9b16231
[4-3] W. -X. You, P. Su and C. Hu, "A New 8T Hybrid Nonvolatile SRAM With Ferroelectric FET," in IEEE Journal of the Electron Devices Society, vol. 8, pp. 171-175, 2020, doi: 10.1109/JEDS.2020.2972319.
[4-4] C. Chen et al., "Bio-Inspired Neurons Based on Novel Leaky-FeFET with Ultra-Low Hardware Cost and Advanced Functionality for All-Ferroelectric Neural Network," 2019 Symposium on VLSI Technology, Kyoto, Japan, 2019, pp. T136-T137, doi: 10.23919/VLSIT.2019.8776495.
[4-5] Milo, Valerio, Gerardo Malavena, Christian Monzio Compagnoni, and Daniele Ielmini. "Memristive and CMOS Devices for Neuromorphic Computing", 2020 Materials 2020, 13(1), 166. doi: https://doi.org/10.3390/ma13010166.
 
 
 
 
第一頁 上一頁 下一頁 最後一頁 top
* *