帳號:guest(18.191.108.13)          離開系統
字體大小: 字級放大   字級縮小   預設字形  

詳目顯示

以作者查詢圖書館館藏以作者查詢臺灣博碩士論文系統以作者查詢全國書目
作者(中文):孫家佑
作者(外文):SUN, JIA-YOU
論文名稱(中文):超薄之自組裝分子作為釕之擴散阻擋層
論文名稱(外文):Ultrathin Self-Assembled Monolayer as an Effective Ru Diffusion Barrier
指導教授(中文):龔佩雲
指導教授(外文):Keng, Pei-Yuin
口試委員(中文):洪偉修
呂明諺
口試委員(外文):Hung, Wei-Hsiu
Lu, Ming-Yen
學位類別:碩士
校院名稱:國立清華大學
系所名稱:材料科學工程學系
學號:109031605
出版年(民國):111
畢業學年度:110
語文別:英文
論文頁數:79
中文關鍵詞:後段製程自組裝分子擴散阻擋層
外文關鍵詞:BEOLSelf assemble monolayerDiffusion barrier
相關次數:
  • 推薦推薦:0
  • 點閱點閱:237
  • 評分評分:*****
  • 下載下載:0
  • 收藏收藏:0
隨著積體電路(IC)尺寸持續微縮,傳統銅製程金屬內連線的電阻逐漸上升,並且在尺寸微縮下,電阻-電容延遲時間上升,及可靠性也更為重要。其中一種方式是用釕代替銅,因為釕具有較低的電阻上升率。而隨著IC的尺寸微縮,擴散阻擋層也需要在2 nm以下。在本碩士論文中,我們開發了一種末端基團為苯環席夫鹼的自組裝分子(SAM),能夠以高親和力和選擇性螯合釕。我們從市售的(3-氨基丙基)三乙氧基硅烷(APTES) 和苯甲醛通過當作前體,並透過簡單的席夫鹼鍵反應設計了一種新型芐亞胺三乙氧基矽烷 (BITES)。 BITES的結構通過FTIR和1H NMR分析。通過表面接觸角、AFM、橢圓儀和 AR-XPS 對 BITES 在矽上的沉積、官能基化進行了優化和定性分析。並藉由物理氣性沉積的方式沉積釕,在預定溫度和時間下對Ru/Si、Ru/APTES/Si和Ru/BITES/Si進行退火來進行擴散阻擋層的實驗。檢測片電阻和X射線衍射以確認釕和矽之間的相變化狀態。
As the scaling for integrated circuit (IC) components continue to shrink, conventional copper interconnect exhibited a marked increase in electrical resistivity, and dimensional break down leading to the resistor-capacitor circuit (RC) delay and reliability issues. One strategy is to replace Cu with Ru since the resistivity of Ru is less dependent on thickness. The diffusion barrier is needed to increase the reliability of the device. Due to the dimension shrinking of IC, the diffusion barrier also needs to be below 2 nm. Self-assembled monolayers (SAM) offer a unique approach due to their ease of preparation, selective and strong bonding with the substrate, and their ability to modify the surface chemistry and topography. In this Master Thesis, we developed a self-assembled monolayer (SAM) with a benzylimine terminal group capable of chelating Ru with high affinity and selectivity. Thus, we design a novel benzyliminetriethoxysilane (BITES) from a commercially available precursor aminopropyltriethoxysilane (APTES) and benzaldehyde via a simple Schiff base bond reaction. The structure of BITES was confirmed by FTIR and 1H NMR. The functionalization of BITES onto silicon was optimized and thoroughly characterized via contact angle analysis, AFM, ellipsometer, and AR-XPS. The diffusion barrier study was conducted by annealing Ru/Si, Ru/APTES/Si, and Ru/BITES/Si at a predetermined temperature and time. The sheet resistance and XRD were conducted to confirm the state of phase transformation of ruthenium and silicon.
Abstract 2
Table of Content 4
Chapter 1 Introduction 10
1.1 Executive summary 10
Chapter 2 Literature Review 12
2.1 Dimension Shrink of integrated circuit 12
2.2 Diffusion barrier in dimension shrink. 15
2.3 Self-assemble monolayer 16
2.4 Benzylimine moiety for the chelation of metal ion 25
Chapter 3 Preparation and Design of Experiments 28_Toc112184962
3.1 Preparation of the benzyliminetriethoxysilane (BITES) 28
3.2 Functionalization Silicon by using BITES 29
3.3 Characterization of BITES and BITES modifying silicon. 30
3.4 Diffusion barrier sample preparation and characterization 31
Chapter 4 Results and Discussion 34
4.1 The characterization of synthesis BITES 34
4.2 The characterization of BITES modifying silicon 37
4.3 Diffusion barrier test 47
4.4 Auger depth profiling and TEM observation of the Ru/Si interface 59
Chapter 5 Conclusion 63
Chapter 6 Prospective 65
Reference 68
[1] Dutta S, Beyne S, Gupta A, Kundu S, Van Elshocht S, Bender H, Jamieson G, Vandervorst W, Bömmels J, Wilson C J, Tőkei Z and Adelmann C 2018 Sub-100 nm2 Cobalt Interconnects IEEE Electron Device Lett. 39 731–4
[2] Karabacak T and Lu T-M 2005 Enhanced step coverage by oblique angle physical vapor deposition J. Appl. Phys. 97 124504
[3] Wei T, Cai J, Wang Q, Hu Y, Wang L, Liu Z and Wu Z 2014 Optimization and evaluation of sputtering barrier/seed layer in through silicon via for 3-D integration Tsinghua Sci. Technol. 19 150–60
[4] Nguyen M and Yonezawa T 2018 Sputtering onto a liquid: interesting physical preparation method for multi-metallic nanoparticles Sci. Technol. Adv. Mater. 19 883–98
[5] Yabu H 2018 Fabrication of honeycomb films by the breath figure technique and their applications Sci. Technol. Adv. Mater. 19 802–22
[6] Caro A M, Armini S, Richard O, Maes G, Borghs G, Whelan C M and Travaly Y 2010 Bottom-Up Engineering of Subnanometer Copper Diffusion Barriers Using NH2-Derived Self-Assembled Monolayers Adv. Funct. Mater. 20 1125–31
[7] Chung Y, Lee S, Mahata C, Seo J, Lim S-M, Jeong M, Jung H, Joo Y-C, Park Y-B, Kim H and Lee T 2014 Coupled self-assembled monolayer for enhancement of Cu diffusion barrier and adhesion properties RSC Adv 4 60123–30
[8] Krishnamoorthy A, Chanda K, Murarka S P, Ramanath G and Ryan J G 2001 Self-assembled near-zero-thickness molecular layers as diffusion barriers for Cu metallization Appl. Phys. Lett. 78 2467–9
[9] Bohr M T 1995 Interconnect scaling-the real limiter to high performance ULSI Proceedings of International Electron Devices Meeting Proceedings of International Electron Devices Meeting pp 241–4
[10] Muthukumar S, Hill C D, Ford S, Worwag W, Dambrauskas T, Challela P C, Dory T S, Patel N M, Ramsay E L and Chau D S 2006 High-Density Compliant Die-Package Interconnects 56th Electronic Components and Technology Conference 2006 56th Electronic Components and Technology Conference 2006 (San Diego, CA: IEEE) pp 1233–8
[11] Banerjee G and Rhoades R L 2008 Chemical Mechanical Planarization Historical Review and Future Direction ECS Trans. 13 1–19
[12] Darmi M, Cherif L, Benallal J, Elgouri R and Hmina N 2017 Integrated Circuit Conception: A Wire Optimization Technic Reducing Interconnection Delay in Advanced Technology Nodes Electronics 6 78
[13] Prasad D, Pan C and Naeemi A 2016 Impact of interconnect variability on circuit performance in advanced technology nodes 2016 17th International Symposium on Quality Electronic Design (ISQED) 2016 17th International Symposium on Quality Electronic Design (ISQED) pp 398–404
[14] Liang Gong Wen, Adelmann C, Pedreira O V, Dutta S, Popovici M, Briggs B, Heylen N, Vanstreels K, Wilson C J, Van Elshocht S, Croes K, Bommels J and Tokei Z 2016 Ruthenium metallization for advanced interconnects 2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference (IITC/AMC) 2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference (IITC/AMC) (San Jose, CA, USA: IEEE) pp 34–6
[15] Croes K, Adelmann Ch, Wilson C J, Zahedmanesh H, Pedreira O V, Wu C, Leśniewska A, Oprins H, Beyne S, Ciofi I, Kocaay D, Stucchi M and Tőkei Zs 2018 Interconnect metals beyond copper: reliability challenges and opportunities 2018 IEEE International Electron Devices Meeting (IEDM) 2018 IEEE International Electron Devices Meeting (IEDM) p 5.3.1-5.3.4
[16] Gall D 2016 Electron mean free path in elemental metals J. Appl. Phys. 119 085101
[17] Rha S-K, Lee W-J, Lee S-Y, Hwang Y-S, Lee Y-J, Kim D-I, Kim D-W, Chun S-S and Park C-O 1998 Improved TiN film as a diffusion barrier between copper and silicon Thin Solid Films 320 134–40
[18] Tsai M H, Sun S C, Tsai C E, Chuang S H and Chiu H T 1996 Comparison of the diffusion barrier properties of chemical‐vapor‐deposited TaN and sputtered TaN between Cu and Si J. Appl. Phys. 79 6932–8
[19] Hamedani Y, Macha P, Bunning R R N T J and Vasudev M 2016 Plasma-Enhanced Chemical Vapor Deposition: Where we are and the Outlook for the Future
[20] Vitiello J, Piallat F and Bonnet L 2017 Alternative deposition solution for cost reduction of TSV integration Int. Symp. Microelectron. 2017 000135–9
[21] Ji L, Kim J-K, Ji Q, Leung K-N, Chen Y and Gough R A 2007 Conformal metal thin-film coatings in high-aspect-ratio trenches using a self-sputtered rf-driven plasma source J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. Process. Meas. Phenom. 25 1227–30
[22] Hopwood J 1998 Ionized physical vapor deposition of integrated circuit interconnects Phys. Plasmas 5 1624–31
[23] Sidhwa A, Spinner C, Gandy T, Goulding M, Brown W, Naseem H, Ulrich R, Ang S, Charlton S, Prasad V and Cale T 2005 Study of the step coverage and contact resistance by using two-step TiN barrier and evolve simulation IEEE Trans. Semicond. Manuf. 18 163–73
[24] Dey S, Yu K-H, Consiglio S, Tapily K, Hakamata T, Wajda C S, Leusink G J, Jordan-Sweet J, Lavoie C, Muir D, Moreno B and Diebold A C 2017 Atomic layer deposited ultrathin metal nitride barrier layers for ruthenium interconnect applications J. Vac. Sci. Technol. A 35 03E109
[25] Johnson R W, Hultqvist A and Bent S F 2014 A brief review of atomic layer deposition: from fundamentals to applications Mater. Today 17 236–46
[26] Knaut M, Junige M, Neumann V, Wojcik H, Henke T, Hossbach C, Hiess A, Albert M and Bartha J W 2013 Atomic layer deposition for high aspect ratio through silicon vias Microelectron. Eng. 107 80–3
[27] Oviroh P, Akbarzadeh R, Pan D, Alfred R, Coetzee M, Jen T-C and Coetzee R 2019 New Development of Atomic Layer Deposition: Processes, Methods, and Applications Sci. Technol. Adv. Mater.
[28] Zhuiykov S, Kawaguchi T, Hai Z, Akbari M K and Heynderickx P M 2017 Interfacial engineering of two-dimensional nano-structured materials by atomic layer deposition Appl. Surf. Sci. C 231–43
[29] Lu J and Kushner M J 2001 Trench filling by ionized metal physical vapor deposition J. Vac. Sci. Technol. Vac. Surf. Films 19 2652–63
[30] Bigelow W C, Pickett D L and Zisman W A 1946 Oleophobic monolayers: I. Films adsorbed from solution in non-polar liquids J. Colloid Sci. 1 513–38
[31] Nuzzo R G and Allara D L 1983 Adsorption of bifunctional organic disulfides on gold surfaces J. Am. Chem. Soc. 105 4481–3
[32] Gooding J J, Mearns F, Yang W and Liu J 2003 Self-Assembled Monolayers into the 21st Century: Recent Advances and Applications Electroanalysis 15 81–96
[33] Ulman A 1996 Formation and Structure of Self-Assembled Monolayers Chem. Rev. 96 1533–54
[34] Vericat C, Vela M E, Benitez G, Carro P and Salvarezza R C 2010 Self-assembled monolayers of thiols and dithiols on gold: new challenges for a well-known system Chem. Soc. Rev. 39 1805–34
[35] Love J C, Estroff L A, Kriebel J K, Nuzzo R G and Whitesides G M 2005 Self-Assembled Monolayers of Thiolates on Metals as a Form of Nanotechnology Chem. Rev. 105 1103–70
[36] Dubois L H and Nuzzo R G 1992 Synthesis, Structure, and Properties of Model Organic Surfaces Annu. Rev. Phys. Chem. 43 437–63
[37] Spori D M, Venkataraman N V, Tosatti S G P, Durmaz F, Spencer N D and Zürcher S 2007 Influence of Alkyl Chain Length on Phosphate Self-Assembled Monolayers Langmuir 23 8053–60
[38] Feldheim D L and Keating C D 1998 Self-assembly of single electron transistors and related devices Chem. Soc. Rev. 27 1–12
[39] Becka A M and Miller C J 1993 Electrochemistry at .omega.-hydroxy thiol coated electrodes. 4. Comparison of the double layer at .omega.-hydroxy thiol and alkanethiol monolayer coated Au electrodes J. Phys. Chem. 97 6233–9
[40] Laibinis P E and Whitesides G M 1992 Self-assembled monolayers of n-alkanethiolates on copper are barrier films that protect the metal against oxidation by air J. Am. Chem. Soc. 114 9022–8
[41] Whitesides G M and Laibinis P E 1990 Wet chemical approaches to the characterization of organic surfaces: self-assembled monolayers, wetting, and the physical-organic chemistry of the solid-liquid interface Langmuir 6 87–96
[42] Prime K L and Whitesides G M 1991 Self-Assembled Organic Monolayers: Model Systems for Studying Adsorption of Proteins at Aurfaces Science 252 1164–7
[43] Sagiv J 1980 Organized monolayers by adsorption. 1. Formation and structure of oleophobic mixed monolayers on solid surfaces J. Am. Chem. Soc. 102 92–8
[44] Chaki N K and Vijayamohanan K 2002 Self-assembled monolayers as a tunable platform for biosensor applications Biosens. Bioelectron. 17 1–12
[45] Leitherer S, Jäger C, Halik M, Clark T and Thoss M 2014 Modeling Charge Transport in C60-based Self-assembled Monolayers for Applications in Field-Effect Transistors J. Chem. Phys. 140 204702
[46] Kumar A, Biebuyck H A, Abbott N L and Whitesides G M 1992 The Use of Self-Assembled Monolayers and a Selective Etch to Generate Patterned Gold Features J Am Chem Soc 114 9188–99
[47] Dufil Y, Gadenne V, Carrière P, Nunzi J-M and Patrone L 2020 Growth and organization of (3-Trimethoxysilylpropyl) diethylenetriamine within reactive amino-terminated self-assembled monolayer on silica Appl. Surf. Sci. 508 145210
[48] Schwartz D K 2001 Mechanisms and Kinetics of Self-Assembled Monolayer Formation Annu. Rev. Phys. Chem. 52 107–37
[49] Osterholtz F D and Pohl E R 1992 Kinetics of the hydrolysis and condensation of organofunctional alkoxysilanes: a review J. Adhes. Sci. Technol. 6 127–49
[50] Yadav A R, Sriram R, Carter J A and Miller B L 2014 Comparative study of solution-phase and vapor-phase deposition of aminosilanes on silicon dioxide surfaces Mater. Sci. Eng. C Mater. Biol. Appl. 35 283–90
[51] Singh M, Kaur N and Comini E 2020 The role of self-assembled monolayers in electronic devices J. Mater. Chem. C 8 3938–55
[52] Coltrain B K, Landry C J T, O’Reilly J M, Chamberlain A M, Rakes G A, Sedita J S, Kelts L W, Landry M R and Long V K 1993 Role of trialkoxysilane functionalization in the preparation of organic-inorganic composites Chem. Mater. 5 1445–55
[53] Le Grange J D, Markham J L and Kurkjian C R 1993 Effects of surface hydration on the deposition of silane monolayers on silica Langmuir 9 1749–53
[54] Wasserman S R, Tao Y T and Whitesides G M 1989 Structure and reactivity of alkylsiloxane monolayers formed by reaction of alkyltrichlorosilanes on silicon substrates Langmuir 5 1074–87
[55] Silberzan P, Leger L, Ausserre D and Benattar J J 1991 Silanation of silica surfaces. A new method of constructing pure or mixed monolayers Langmuir 7 1647–51
[56] Miranda A, Martínez L and Beule P 2020 Facile synthesis of an aminopropylsilane layer on Si/SiO2 substrates using ethanol as APTES solvent MethodsX 7 100931
[57] Zhu M, Lerum M Z and Chen W 2012 How To Prepare Reproducible, Homogeneous, and Hydrolytically Stable Aminosilane-Derived Layers on Silica Langmuir 28 416–23
[58] Asenath Smith E and Chen W 2008 How to Prevent the Loss of Surface Functionality Derived from Aminosilanes Langmuir ACS J. Surf. Colloids 24 12405–9
[59] Howarter J A and Youngblood J P 2006 Optimization of Silica Silanization by 3-Aminopropyltriethoxysilane Langmuir 22 11142–7
[60] Pasternack R M, Rivillon Amy S and Chabal Y J 2008 Attachment of 3-(Aminopropyl)triethoxysilane on Silicon Oxide Surfaces: Dependence on Solution Temperature Langmuir 24 12963–71
[61] Shircliff R A, Stradins P, Moutinho H, Fennell J, Ghirardi M L, Cowley S W, Branz H M and Martin I T 2013 Angle-Resolved XPS Analysis and Characterization of Monolayer and Multilayer Silane Films for DNA Coupling to Silica Langmuir 29 4057–67
[62] Sun Y, Yanagisawa M, Kunimoto M, Nakamura M and Homma T 2016 Estimated phase transition and melting temperature of APTES self-assembled monolayer using surface-enhanced anti-stokes and stokes Raman scattering Appl. Surf. Sci. 363 572–7
[63] Bhushan B, Kwak K, Gupta S and Lee S 2008 Nanoscale adhesion, friction and wear studies of biomolecules on silane polymer-coated silica and alumina-based surfaces J. R. Soc. Interface R. Soc. 6 719–33
[64] Koike J and Wada M 2005 Self-forming diffusion barrier layer in Cu–Mn alloy metallization Appl. Phys. Lett. 87 041911
[65] Hsu K-C, Perng D-C and Wang Y-C 2012 Robust ultra-thin RuMo alloy film as a seedless Cu diffusion barrier J. Alloys Compd. 516 102–6
[66] Hong J, Lee S, Lee S, Han H, Mahata C, Yeon H-W, Koo B, Kim S-I, Nam T, Byun K, Min B-W, Kim Y-W, Kim H, Joo Y-C and Lee T 2014 Graphene as an atomically thin barrier to Cu diffusion into Si Nanoscale 6 7503–11
[67] Lo C-L, Catalano M, Smithe K K H, Wang L, Zhang S, Pop E, Kim M J and Chen Z 2017 Studies of two-dimensional h-BN and MoS2 for potential diffusion barrier application in copper interconnect technology Npj 2D Mater. Appl. 1 1–7
[68] Chaitoglou S and Bertran E 2017 Effect of temperature on graphene grown by chemical vapor deposition J. Mater. Sci. 52 8348–56
[69] Kim S J, Choi K, Lee B, Kim Y and Hong B H 2015 Materials for Flexible, Stretchable Electronics: Graphene and 2D Materials Annu. Rev. Mater. Res. 45 63–84
[70] Singh M, Kaur N and Comini E 2020 The role of self-assembled monolayers in electronic devices J. Mater. Chem. C 8 3938–55
[71] Hsiao V K S, Waldeisen J R, Zheng Y, Lloyd P F, Bunning T J and Huang T J 2007 Aminopropyltriethoxysilane (APTES)-functionalized nanoporous polymeric gratings: fabrication and application in biosensing J. Mater. Chem. 17 4896–901
[72] Sabdin S, Azraie Mohd Azmi M, Azurin Badruzaman N, Zuriati Makmon F, Abd Aziz A and Azura Mohd Said N 2019 Effect of APTES Percentage towards Reduced Graphene Oxide Screen Printed Electrode Surface for Biosensor Application Mater. Today Proc. 19 1183–8
[73] Rim Y S, Bae S-H, Chen H, Yang J L, Kim J, Andrews A M, Weiss P S, Yang Y and Tseng H-R 2015 Printable Ultrathin Metal Oxide Semiconductor-Based Conformal Biosensors ACS Nano 9 12174–81
[74] Maestre Caro A, Maes G, Borghs G and Whelan C M 2008 Screening self-assembled monolayers as Cu diffusion barriers Microelectron. Eng. 85 2047–50
[75] Schiff H 1864 Mittheilungen aus dem Universitätslaboratorium in Pisa: Eine neue Reihe organischer Basen Justus Liebigs Ann. Chem. 131 118–9
[76] Gupta K C and Sutar A K 2008 Catalytic activities of Schiff base transition metal complexes Coord. Chem. Rev. 252 1420–50
[77] Nejati K, Rezvani Z and Massoumi B 2007 Syntheses and investigation of thermal properties of copper complexes with azo-containing Schiff-base dyes Dyes Pigments 75 653–7
[78] Tchout J and Grollman A P 1995 The Catalytic Mechanism of Fpg Protein: EVIDENCE FOR A SCHIFF BASE INTERMEDIATE AND AMINO TERMINUS LOCALIZATION OF THE CATALYTIC SITE * J. Biol. Chem. 270 11671–7
[79] le Roux W H, Matthews M, Lederer A, van Reenen A J and Malgas-Enus R 2022 First report of Schiff-base nickel nanoparticle-catalyzed oligomerization and polymerization of norbornene J. Catal. 405 571–87
[80] da Silva C M, da Silva D L, Modolo L V, Alves R B, de Resende M A, Martins C V B and de Fátima  2011 Schiff bases: A short review of their antimicrobial activities J. Adv. Res. 2 1–8
[81] Lagadic I L 2006 Schiff base chelate-functionalized organoclays Microporous Mesoporous Mater. 95 226–33
[82] Cegłowski M and Schroeder G 2015 Preparation of porous resin with Schiff base chelating groups for removal of heavy metal ions from aqueous solutions Chem. Eng. J. 263 402–11
[83] Memon S, Memon N, Mallah A, Soomro R and Khuhawar M 2014 Schiff Bases as Chelating Reagents for Metal Ions Analysis Curr. Anal. Chem. 10 393–417
[84] Li B, Darcel C, Roisnel T and Dixneuf P H 2015 Cycloruthenation of aryl imines and N-heteroaryl benzenes via C–H bond activation with Ru(II) and acetate partners J. Organomet. Chem. 793 200–9
[85] Chen J H, Xing H T, Guo H X, Li G P, Weng W and Hu S R 2013 Preparation, characterization and adsorption properties of a novel 3-aminopropyltriethoxysilane functionalized sodium alginate porous membrane adsorbent for Cr(III) ions J. Hazard. Mater. 248–249 285–94
[86] Keshtkar A R, Tabatabaeefar A, Vaneghi A S and Moosavian M A 2016 Electrospun polyvinylpyrrolidone/silica/3-aminopropyltriethoxysilane composite nanofiber adsorbent: Preparation, characterization and its application for heavy metal ions removal from aqueous solution J. Environ. Chem. Eng. 4 1248–58
[87] Wang L, Zhang W, Samavat S, Deganello D and Teng K S 2020 Vertically Aligned Graphene Prepared by Photonic Annealing for Ultrasensitive Biosensors ACS Appl. Mater. Interfaces 12 35328–36
[88] Fan S, Peng B, Yuan R, Wu D, Wang X, Yu J and Li F 2020 A novel Schiff base-containing branched polysiloxane as a self-crosslinking flame retardant for PA6 with low heat release and excellent anti-dripping performance Compos. Part B Eng. 183 107684
[89] Guo Y, Zhang M, Liu Z, Tian F, Zhang S, Zhao C and Lu H 2018 Modeling and Optimizing the Synthesis of Urea-formaldehyde Fertilizers and Analyses of Factors Affecting these Processes Sci. Rep. 8
[90] Rottke F, Schulz B, Richau K, Kratz K and Lendlein A 2016 An ellipsometric approach towards the description of inhomogeneous polymer-based Langmuir layers Beilstein J. Nanotechnol. 7 1156–65
[91] Brochier Salon M-C, Bayle P-A, Abdelmouleh M, Boufi S and Belgacem M N 2008 Kinetics of hydrolysis and self condensation reactions of silanes by NMR spectroscopy Colloids Surf. Physicochem. Eng. Asp. 312 83–91
[92] Xu J, Wang C, Wang T-E, Wang Y, Kang Q, Liu Y and Tian Y 2018 Mechanisms for low-temperature direct bonding of Si/Si and quartz/quartz via VUV/O3 activation RSC Adv. 8 11528–35
[93] Yadav A R, Sriram R, Carter J A and Miller B L 2014 Comparative study of solution–phase and vapor–phase deposition of aminosilanes on silicon dioxide surfaces Mater. Sci. Eng. C 35 283–90
[94] Saengdee P, Promptmas C, Thanapitak S, Srisuwan A, Pankiew A, Thornyanadacha N, Chaisriratanakul W, Chaowicharat E and Jeamsaksiri W 2020 Optimization of 3-aminopropyltriethoxysilane functionalization on silicon nitride surface for biomolecule immobilization Talanta 207 120305
[95] Dietrich P M, Glamsch S, Ehlert C, Lippitz A, Kulak N and Unger W E S 2016 Synchrotron-radiation XPS analysis of ultra-thin silane films: Specifying the organic silicon Appl. Surf. Sci. 363 406–11
[96] Brundle C R, Conti G and Mack P 2010 XPS and angle resolved XPS, in the semiconductor industry: Characterization and metrology control of ultra-thin films J. Electron Spectrosc. Relat. Phenom. 178–179 433–48
[97] Ivnitski D, Artyushkova K and Atanassov P 2008 Surface characterization and direct electrochemistry of redox copper centers of bilirubin oxidase from fungi Myrothecium verrucaria Bioelectrochemistry 74 101–10
[98] Morita M, Ohmi T, Hasegawa E, Kawakami M and Ohwada M 1990 Growth of native oxide on a silicon surface J. Appl. Phys. 68 1272–81
[99] Kim M, Basarir F, Park J, Yoon T-H and Jang Y H Computational Calculation of thickness of Self-Assembled Monolayer of 3- aminopropyltriethoxysilane on Quartz (100) 4
[100] Jourdan N, van der Veen M H, Vega Gonzalez V, Croes K, Lesniewska A, Varela Pedreira O, Van Elshocht S, Bommels J and Tokei Zs 2016 CVD-Mn/CVD-Ru-based barrier/liner solution for advanced BEOL Cu/Low-k interconnects 2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference (IITC/AMC) 2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference (IITC/AMC) (San Jose, CA, USA: IEEE) pp 37–9
[101] Zhang X, Huang H, Patlolla R, Wang W, Mont F W, Li J, Hu C-K, Liniger E G, McLaughlin P S, Labelle C, Ryan E T, Canaperi D, Spooner T, Bonilla G and Edelstein D 2016 Ruthenium interconnect resistivity and reliability at 48 nm pitch 2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference (IITC/AMC) 2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference (IITC/AMC) pp 31–3
[102] Rogozhin A, Miakonkikh A, Smirnova E, Lomov A, Simakin S and Rudenko K 2021 Plasma Enhanced Atomic Layer Deposition of Ruthenium Films Using Ru(EtCp)2 Precursor Coatings 11 117
[103] Jog A and Gall D 2022 Electron Scattering at Surfaces and Grain Boundaries in Rh Layers IEEE Trans. Electron Devices 69 3854–60
[104] Liao W and Ekerdt J G 2016 Precursor dependent nucleation and growth of ruthenium films during chemical vapor deposition J. Vac. Sci. Technol. A 34 041514
[105] Chen C, Eichel R-A and Notten P 2017 Metal-organic chemical vapor deposition enabling all-solid-state Li-ion microbatteries: A short review J. Electroceramics 38
[106] Jelenkovic E V, Tong K Y, Cheung W Y and Wong S P 2003 Physical and electrical properties of sputtered Ru 2 Si 3 /Si structures Semicond. Sci. Technol. 18 454–9
[107] Frampton R D, Irene E A and d’Heurle F M 1987 A study of the oxidation of selected metal silicides J. Appl. Phys. 62 2972–80
[108] Petersson C S, Baglin J E E, Dempsey J J, d’Heurle F M and La Placa S J 1982 Silicides of ruthenium and osmium: Thin film reactions, diffusion, nucleation, and stability J. Appl. Phys. 53 4866–83
[109] Hanson E L, Schwartz J, Nickel B, Koch N and Danisman M F 2003 Bonding Self-Assembled, Compact Organophosphonate Monolayers to the Native Oxide Surface of Silicon J. Am. Chem. Soc. 125 16074–80
[110] Hotchkiss P J, Jones S C, Paniagua S A, Sharma A, Kippelen B, Armstrong N R and Marder S R 2012 The Modification of Indium Tin Oxide with Phosphonic Acids: Mechanism of Binding, Tuning of Surface Properties, and Potential for Use in Organic Electronic Applications Acc. Chem. Res. 45 337–46
[111] Dubey M, Weidner T, Gamble L J and Castner D G 2010 Structure and Order of Phosphonic Acid-Based Self-Assembled Monolayers on Si(100) Langmuir 26 14747–54
[112] Ito Y, Virkar A A, Mannsfeld S, Oh J H, Toney M, Locklin J and Bao Z 2009 Crystalline Ultrasmooth Self-Assembled Monolayers of Alkylsilanes for Organic Field-Effect Transistors J. Am. Chem. Soc. 131 9396–404
[113] Soethoudt J, Crahaij S, Conard T and Delabie A 2019 Impact of SiO 2 surface composition on trimethylsilane passivation for area-selective deposition J. Mater. Chem. C 7 11911–8

 
 
 
 
第一頁 上一頁 下一頁 最後一頁 top
* *