帳號:guest(18.116.89.38)          離開系統
字體大小: 字級放大   字級縮小   預設字形  

詳目顯示

以作者查詢圖書館館藏以作者查詢臺灣博碩士論文系統以作者查詢全國書目
作者(中文):賴冠廷
作者(外文):Lai, Guan-Ting
論文名稱(中文):利用氮化鋁鈦作為鎢金屬閘極之阻擋層以改善先進製程之MOS電容
論文名稱(外文):Improved Tungsten Metal Gate Characteristics by TiAlN as Barrier Layer for Advanced VLSI Process
指導教授(中文):巫勇賢
指導教授(外文):Wu, Yung-Hsien
口試委員(中文):吳永俊
唐英瓚
口試委員(外文):Wu, Yung-Chun
Tang, Ying-Tsang
學位類別:碩士
校院名稱:國立清華大學
系所名稱:工程與系統科學系
學號:108011562
出版年(民國):110
畢業學年度:109
語文別:中文
論文頁數:64
中文關鍵詞:金屬閘極工程金氧半電容鎢金屬氣相化學沉積氟擴散阻擋層氮化鋁鈦
外文關鍵詞:Metal gate stackMOSCAPWCVDFluorine diffusionBarrier layerTitanium aluminium nitride
相關次數:
  • 推薦推薦:0
  • 點閱點閱:889
  • 評分評分:*****
  • 下載下載:0
  • 收藏收藏:0
  替代金屬閘極(RMG)在現今的FinFET CMOS製程中是相當主流的技術之一,透過沉積多層的功函數金屬來調變臨界電壓(VT),以因應不同類型元件的需求。在功函數金屬之後,尚須填充鎢(W)這類的低電阻率之金屬,提升閘極的導電性。在填充鎢金屬之前,往往需要事先沉積一層氮化鈦(TiN)作為阻擋層/附著層。然而,隨著技術節點微縮,在功函數金屬厚度不變的條件下,阻擋層佔據了閘極溝槽大部分空間,這結果將限制了鎢金屬的填充,導致較高的閘極電阻。因此,為了能讓元件持續微縮,勢必要發展新的阻擋層材料,降低其厚度,以解決CMOS的導電性問題。
  本篇論文研究利用對功函數金屬鋁化鈦(TiAl)進行局部摻氮製程,形成氮化鋁鈦(TiAlN),並實現其作為鎢金屬閘極阻擋層的電容元件。元件的量測發現,使用TiAlN阻擋層的元件之平帶電壓,可以等效於使用5 nm TiN阻擋層的元件,證明TiAlN也具有良好的阻擋效果。在片電阻的量測上,以摻氮之TiAlN取代TiN並搭配鎢金屬堆疊,其阻值也降低了近20%。
  改用對TiAl摻氮形成之TiAlN,能夠解決因犧牲額外的TiN阻擋層所衍生的問題,提升鎢金屬閘極的特性;經過可靠度測試後,TiAlN之元件也有比擬傳統TiN的表現。以上結果說明了TiAlN阻擋層的可行性,並提供了改善先進RMG製程的方案,可以延續至未來更加微縮的技術節點。
  Replacement metal gate nowadays has been one of the mainstream technologies in FinFET CMOS process. It enables threshold voltage modulation by depositing multi-layer effective work function metal to meet the requirement for different types of devices. After the work function metal, it is necessary to fill low resistivity metal such as W to increase gate conductance. The module used to deposit TiN as a barrier/adhesion layer prior to bulk W fill. However, with technology node scaling and work function metal layers thickness maintained, these barrier layers occupy a major portion of the gate trench, resulting in the limit for W fill and high gate resistance. Therefore, in order to keep devices scaling, new barrier material must be developed to overcome CMOS conductivity issues.
  This approach utilizes partially nitrogen-incorporated work function metal TiAl, which promotes the formation of TiAlN, and realizes the capacitor device with TiAlN barrier layer below the tungsten metal gate. The measurement shows that the flat band voltage adopting TiAlN barrier can be equivalent to that of 5nm TiN barrier, suggesting TiAlN also displays good blocking effect. For the sheet resistance, replacing TiN by TiAlN followed by tungsten metal stack gets lowered by nearly 20%.
  Adopting nitrogen-incorporated TiAlN could solve problems due to sacrificing additional TiN barrier layer and improve the tungsten metal gate characteristics. After reliability test, TiAlN-devices also demonstrate comparable performance with conventional TiN-devices. The results above illustrate the feasibility of TiAlN barrier and provide the solution to advanced RMG fill integration, which is extendable to future highly scaled technology node.
摘要 i
Abstract ii
誌謝 iv
目錄 vi
表目錄 viii
圖目錄 ix
第一章 緒論 1
1-1 研究背景 1
1-2 閘極氧化層材料的演進 2
1-3 閘極材料的演進 5
1-3-1 多晶矽閘極 5
1-3-2 金屬閘極 6
1-4 鎢金屬填充 7
1-5 研究動機 8
1-6 論文結構 9
第二章 文獻回顧 19
2-1 改變金屬沉積順序以改善閘極電阻率 19
2-2 更換材料以改善閘極電阻率 20
2-2-1 以鈷取代鎢作為閘極填充材料 20
2-2-2 使用不同阻擋層材料 21
第三章 實驗設計與製作流程 29
3-1 實驗規劃 29
3-2 元件製作與流程 29
3-2-1 基板與晶片清潔 29
3-2-2 閘極堆疊 30
3-2-3 混成氣體退火 31
3-2-4 片電阻量測試片製備 32
3-3 元件量測 32
第四章 實驗結果與討論 39
4-1 電容特性分析 39
4-1-1 電容-電壓特性曲線分析 39
4-1-2 平帶電壓分析 40
4-2 漏電流特性分析 42
4-3 可靠度分析 43
4-3-1電容可靠度 43
4-3-2 漏電流可靠度 44
4-4 金屬片電阻分析 44
第五章 結論與未來展望 55
參考文獻 57

[1] W. Shockley, “Transistor technology evokes new physics,” Nobel Lecture, 1956.
[2] G. E. Moore, “Cramming more components onto integrated circuits,” Electronics, vol. 38, no. 8, pp. 114-117, 1965.
[3] J. Robertson, “High dielectric constant oxides,” Eur. Phys. J.-Appl. Phys., vol. 28, no. 3, pp. 265-291, 2004.
[4] J. Robertson, “High dielectric constant gate oxides for metal oxide Si transistors,” Rep. Prog. Phys., vol. 69, no. 2, pp. 327-396, 2005.
[5] G. D. Wilk, R. M. Wallace, and J. M. Anthony, “High-κ gate dielectrics: Current status and materials properties considerations,” J. Appl. Phys., vol. 89, no. 10, pp. 5243-5275, 2001.
[6] M. Gutowski, J. E. Jaffe, C.-L. Liu, M. Stoker, R. I. Hegde, R. S. Rai, and P. J. Tobin, “Thermodynamic stability of high-Κ dielectric metal oxides ZrO2 and HfO2 in contact with Si and SiO2,” Appl. Phys. Lett., vol. 80, no. 11, pp. 1897-1899, 2002.
[7] S. Stemmer, “Thermodynamic considerations in the stability of binary oxides for alternative gate dielectrics in complementary metal–oxide–semiconductors,” J. Vac. Sci. Technol. B, vol. 22, no. 2, pp. 791-800, 2004.
[8] D. G. Schlom and J. H. Haeni, “A Thermodynamic Approach to Selecting Alternative Gate Dielectrics,” MRS Bull., vol. 27, no. 3, pp. 198-204, 2002.
[9] J. Robertson, “Band Offsets of Wide-Band-Gap Oxides and Implications for Future Electronic Devices,” J. Vac. Sci. Technol. B, vol. 18, no. 3, pp. 1785-1791, 2000.
[10] S. Guha, E. P. Gusev, M. Copel, L.-Å. Ragnarsson, and D. A. Buchanan, “Compatibility Challenges for High-κ Materials Integration into CMOS Technology,” MRS Bull., vol. 27, no. 3, pp. 226-229, 2002.
[11] J. H. Lee, K. Koh, N. I. Lee, M. H. Cho, Y. K. Kim, J. S. Jeon, K. H. Cho, H. S. Shin, M. H. Kim, K. Fujihara, H. K. Kang, and J. T. Moon, “Effect of Polysilicon Gate on the Flatband Voltage Shift and Mobility Degradation for ALD-Al2O3 Gate Dielectric,” in IEDM Tech. Dig., pp. 645–648, 2000.
[12] Z. Wang, C. G. Parker, D. W. Hodge, R. T. Croswell, N. Yang, V. Misra, and J. R. Hauser, “Effect of Polysilicon Gate Type on the Flatband Voltage Shift for Ultrathin Oxide-Nitride Gate Stacks,” IEEE Electron Device Lett., vol. 21, no. 4, pp. 170-173, 2000.
[13] C.-H. Choi, P. R. Chidambaram, R. Khamankar, C. F. Machala, Z. Yu, and R. W. Dutton, “Gate Length Dependent Polysilicon Depletion Effects,” IEEE Electron Device Lett., vol. 23, no. 4, pp. 224–226, 2002.
[14] C. Hobbs, L. Fonseca, V. Dhandapani, S. Samavedam, B. Taylor, J. Grant, L. Dip, D. Triyoso, R. Hegde, D. Gilmer, R. Garcia, D. Roan, L. Lovejoy, R. Rai, L. Hebert, H. Tseng, B. White, and P. Tobin, “Fermi Level Pinning at the PolySi/Metal Oxide Interface,” in Proc. Symp. VLSI Technol., 2003, pp. 9-10.
[15] K. Mistry, C. Allen, C. Auth, B. Beattie, D. Bergstrom, M. Bost, M. Brazier, M. Buehler, A. Cappellani, R. Chau, C.-H. Choi, G. Ding, K. Fischer, T. Ghani, R. Grover, W. Han, D. Hanken, M. Hattendorf, J. He, J. Hicks, R. Huessner, D. Ingerly, P. Jain, R. James, L. Jong, S. Joshi, C. Kenyon, K. Kuhn, K. Lee, H. Liu, J. Maiz, B. McIntyre, P. Moon, J. Neirynck, S. Pae, C. Parker, D. Parsons, C. Prasad, L. Pipes, M. Prince, P. Ranade, T. Reynolds, J. Sandford, L. Shifren, J. Sebastian, J. Seiple, D. Simon, S. Sivakumar, P. Smith, C. Thomas, T. T. Roeger, P. Vandervoorn, S. Williams, and K. Zawadzki, “A 45 nm Logic Technology with High-k + Metal Gate Transistors, Strained Silicon, 9 Cu Interconnect Layers, 193 nm Dry Patterning, and 100% Pb-free Packaging,” in IEDM Tech. Dig, 2007, pp. 247-250.
[16] K. Henson, H. Bu, M. H. Na, Y. Liang, U. Kwon, S. Krishnan, J. Schaeffer, R. Jha, N. Moumen, R. Carter, C. DeWan, R. Donaton, D. Guo, M. Hargrove, W. He, R. Mo, R. Ramachandran, K. Ramani, K. Schonenberg, Y. Tsang, X. Wang, M. Gribelyuk, W. Yan, J. Shepard, E. Cartier, M. Frank, E. Harley, R. Arndt, R. Knarr, T. Bailey, B. Zhang, K. Wong, T. Graves-Abe, E. Luckowski, D.-G. Park, V. Narayanan, M. Chudzik, and M. Khare, “Gate Length Scaling and High Drive Currents Enabled for High Performance SOI Technology using High-κ/Metal Gate,” in IEDM Tech. Dig., 2008, pp. 645-648.
[17] S. B. Samavedam, L. B. La, J. Smith, S. Dakshina-Murthy, E. Luckowski, J. Schaeffer, M. Zavala, R. Martin, V. Dhandapani, D. Triyoso, H. H. Tseng, P. J. Tobin, D. C. Gilmer, C. Hobbs, W. J. Taylor, J. M. Grant, R. I. Hegde, J. Mogab, C. Thomas, P. Abramowitz, M. Moosa, J. Conner, J. Jiang, V. Arunachalam, M. Sadd, B.-Y. Nguyen, and B. White, “Dualmetal gate CMOS with HfO2 gate dielectric,” in IEDM Tech. Dig., 2002, pp. 433-436.
[18] I. De, D. Johri, A. Srivastava, and C. M. Osburn, “Impact of gate workfunction on device performance at the 50nm technology node,” Solid-State Electron., vol. 44, no. 6, pp. 1077-1080, 2000.
[19] A. Veloso, G. Boccardi, L.-Å. Ragnarsson, Y. Higuchi, J. W. Lee, E. Simoen, Ph. J. Roussel, M. J. Cho, S. A. Chew, T. Schram, H. Dekkers, A. Van Ammel, T. Witters, S. Brus, A. Dangol, V. Paraschiv, E. Vecchio, X. Shi, F. Sebaai, K. Kellens, N. Heylen, K. Devriendt, O. Richard, H. Bender, T. Chiarella, H. Arimura, A. Thean, and N. Horiguchi, “Highly Scalable Effective Work Function Engineering Approach for Multi-VT Modulation of Planar and FinFET-based RMG High-k Last Devices for (Sub-)22 nm Nodes,” in Proc. Symp. VLSI Technol., 2013, pp. T194-T195.
[20] L.-Å. Ragnarsson, S. A. Chew, H. Dekkers, M. T. Luque, B. Parvais, A. De Keersgieter, K. Devriendt, A. Van Ammel, T. Schram, N. Yoshida, A. Phatak, K. Han, B. Colombeau, A. Brand, N. Horiguchi, and A. V.-Y. Thean, “Highly Scalable Bulk FinFET Devices with Multi-VT Options by Conductive Metal Gate Stack Tuning for the 10-nm Node and Beyond,” in Proc. Symp. VLSI Technol., 2014, pp. 56-57.
[21] S. W. Lee, B. J. Choi, T. Eom, J. H. Han, S. K. Kim, S. J. Song, W. Lee, and C. S. Hwang, “Influences of metal, non-metal precursors, and substrates on atomic layer deposition processes for the growth of selected functional electronic materials,” Coord. Chem. Rev., vol. 257, nos. 23-24, pp. 3154-3176, 2013.
[22] D. J. H. Emslie, P. Chadha, and J. S. Price, “Metal ALD and pulsed CVD: Fundamental reactions and links with solution chemistry,” Coord. Chem. Rev., vol. 257, nos. 23-24, pp. 3282-3296, 2013.
[23] C. Zhao and J. Xiang, “Atomic Layer Deposition (ALD) of Metal Gates for CMOS,” Appl. Sci., vol. 9, no. 11, pp. 2388-2411, 2019.
[24] B. Kim, Y. Akiyama, N. Imaishi, and H.-C. Park, “Modeling of Tungsten Thermal Chemical Vapor Deposition,” Jpn. J. Appl. Phys., vol. 38, no. 5R, pp. 2881-2887, 1999.
[25] C. Y. Ting and M. Wittmer, “The use of titanium-based contact barrier layers in silicon technology,” Thin Solid Films, vol. 96, no. 4, pp. 327-345, 1982.
[26] Y. Nakasaki, K. Suguro, S. Shima, and M. Kashiwagi, “Tungsten/titanium nitride low ... resistance interconnections durable for high-temperature processing,” J. Appl. Phys., vol. 64, no. 6, pp. 3263-3268, 1988.
[27] L. Ulmer, L. George, J.C. Veler, Y. Morand, M. Bakli, V. Ferrier, M.Lerme, L. Perroud, T. Morel, “Effect of tungsten chemical vapor deposition nucleation step on via performance,” Microelectronic Eng., vol. 33, nos. 1-4, pp. 121-127, 1997.
[28] S.-H. Kim, E.-S. Hwang, S.-Y. Han, S.-H. Pyi, N. Kawk, H. Sohn, J. Kim, and G. B. Choi, “Pulsed CVD of tungsten thin film as a nucleation layer for tungsten plug-fill,” Electrochem. Solid-State Lett., vol. 7, no. 9, pp. G195-G197, 2004.
[29] L.- Å. Ragnarsson, H. Dekkers, T. Schram, S. A. Chew, B. Parvais, M. Dehan, K. Devriendt, Z. Tao, F. Sebaai, C. Baerts, S. Van Elshocht, N. Yoshida, A. Phatak, C. Lazik, A. Brand, W. Clark, D. Fried, D. Mocuta, K. Barla, N. Horiguchi, and A. V.-Y. Thean, “RMG nMOS 1st Process Enabling 10x Lower Gate Resisitivity in N7 Bulk FinFETs,” in Proc. Symp. VLSI Technol., 2015, pp. T148-T149.
[30] N. Yoshida, S. Hassan, W. Tang, Y. Yang, W. Zhang, S. C. Chen, L. Dong, H. Zhou, M. Jin, M. Okazaki, J. Park, N. Bekiaris, R. Hung, J. Zhou, Y. Lei, P. Ma, X. Tang, T. Miyashita, N. Kim, and E. Yieh, “Highly Conductive Metal Gate Fill Integration Solution for Extremely Scaled RMG Stack for 5 nm & Beyond,” in IEDM Tech. Dig., 2017, pp. 22.2.1-22.2.4.
[31] Z. Wen, T. Xiao, H. Zhang, Y. Qui, D. Yu, J. Kang, and J. Fang, “Ultrathin Interfacial SiO2 Layer Process Research for High-k Gate Last Gate Stacks,” in Proc. China Semiconductor Technol. Int. Conf., 2015, pp. 1-3.
[32] D. H. Triyoso, P. J. Tobin, B. E. White, R. Gregory, and X. D. Wang, “Impact of film properties of atomic layer deposited HfO2 resulting from annealing with a TiN capping layer,” Appl. Phys. Lett., vol. 89, no. 13, p. 132903, 2006.
[33] J. Y. Kim, H. K. Kim, Y. Kim, Y. D. Kim, W. M. Kim, and H. Jeon, “Comparison of TiN and TiAlN as a Diffusion Barrier Deposited by Atomic Layer Deposition,” J. Korean Phys. Soc., vol. 40, no. 1, pp. 176-179, 2002.
[34] L. P. B. Lima, H. F. W. Dekkers, J. G. Lisoni, J. A. Diniz, S. Van Elshocht, and S. De Gendt, “Metal gate work function tunung by Al incorporation in TiN,” J. Appl. Phys., vol. 115, no. 7, p. 074504, 2014.
[35] K. Onishi, C. S. Kang, R. Choi, H. J. Cho, S. Gopalan, R. Nieh, S. Krishnan, and J. C. Lee, “Effect of High-Temperature Forming Gas Anneal on HfO2 MOSFET Performance,” in Proc. Symp. VLSI Technol., 2002, pp. 22–23.
[36] S. C. Heo and C. Choi, “Plasma atomic layer deposited TiN metal gate for three dimensional device applications: Deposition temperature, capping metal and post annealing,” Microelectronic. Eng., vol. 94, pp. 11-13, 2012.
[37] A. P. Kovchavtsev, A. V. Tsarenko, A. A. Guzev, M. S. Aksenov, V. G. Polovinkin, A. E. Nastovjak, and N. A. Valisheva, “The influence of electron energy quantization in a space-charge region on the accumulation capacitance of InAs metal-oxide-semiconductor capacitors,” J. Appl. Phys., vol. 118, no. 12, p. 125708, 2015.
[38] J. R. Hauser and K. Ahmed, “Characterization of ultra-thin oxides using electrical C–V and I–V measurements,” in AIP Conf. Proc., vol. 449, 1998, pp. 235–239.
[39] K. Piskorski and H. M. Przewlocki, “The methods to determine flat-band voltage VFB in semiconductor of a MOS structure,” in Proc. 33rd Int. Conv. MIPRO, 2010, pp. 37-42.
[40] R. Winter, J. Ahn, P. C. McIntyre, and M. Eizenberg, “New method for determining flat-band voltage in high mobility semiconductors,” J. Vac. Sci. Technol. B, vol. 31, no. 3, p. 030604, 2013.
[41] S. Zafar, A. Callegari, and J. Stathis, “Charge Trapping & NBTI in High k Gate Dielectric Stacks,” ECS Trans., vol. 1, no. 5, pp. 591-605, 2006.
[42] A. Campos-Cruz, G. Espinosa-Flores-Verdad,, A. Torres-Jacome, and E. Tlelo-Cuautle, “On the Prediction of the Threshold Voltage Degradation in CMOS Technology due to Bias-Temperature Instability,” Electronics, vol. 7, no. 12, p. 427, 2018.
[43] E. Rosenbaum and L. F. Register, “Mechanism of Stress-Induced Leakage Current in MOS Capacitors,” IEEE Trans. Electron Devices, vol. 44, no. 2, pp. 317-323, 1997.
[44] T.-Y. Chen, C.-T. Wu, H.-W. Yen, and C.-F. Pai, “Tunable Spin-Orbit Torques in Cu-Ta Binary Alloy Heterostructures,” Phys. Rev. B, vol. 96, no. 10, p. 104434, 2017.
[45] T. Kim, G. Baek, S. Yang, J. Y. Yang, K. S. Yoon, S. G. Kim, J. Y. Lee, H. S. Im, and J. P. Hong, “Exploring oxygen-affinity-controlled TaN electrodes for thermally advanced TaOx bipolar resistive switching,” Sci. Rep., vol. 8, p. 8532, 2018.
(此全文20260802後開放外部瀏覽)
電子全文
中英文摘要
 
 
 
 
第一頁 上一頁 下一頁 最後一頁 top
* *