|
[1] K. Itoh et al., "VLSI Memory Chip Design", Springer-Verlag, pp. 1-46, 2001. [2] M. Bohr, "The new era of scaling in an SoC world," 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers, San Francisco, CA, 2009, pp. 23-28, doi: 10.1109/ISSCC.2009.4977293. [3] F. Menichelli and M. Olivieri, "Static Minimization of Total Energy Consumption in Memory Subsystem for Scratchpad-Based Systems-on-Chips," in IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 17, no. 2, pp. 161-171, Feb. 2009, doi: 10.1109/TVLSI.2008.2001940. [4] D. Smith et al., "A 3.6 ns 1 Kb ECL I/O BiCMOS UV EPROM," IEEE International Symposium on Circuits and Systems, New Orleans, LA, USA, 1990, pp. 1987-1990 vol.3, doi: 10.1109/ISCAS.1990.112119. [5] C. Kuo et al., "A 512-kb flash EEPROM embedded in a 32-b microcontroller," in IEEE Journal of Solid-State Circuits, vol. 27, no. 4, pp. 574-582, April 1992, doi: 10.1109/4.126546. [6] S. H. Kulkarni, Z. Chen, J. He, L. Jiang, M. B. Pedersen and K. Zhang, "A 4 kb Metal-Fuse OTP-ROM Macro Featuring a 2 V Programmable 1.37 um2 1T1R Bit Cell in 32 nm High-k Metal-Gate CMOS," in IEEE Journal of Solid-State Circuits, vol. 45, no. 4, pp. 863-868, April 2010, doi: 10.1109/JSSC.2010.2040115. [7] Kang-Deog Suh et al., "A 3.3 V 32 Mb NAND flash memory with incremental step pulse programming scheme," in IEEE Journal of Solid-State Circuits, vol. 30, no. 11, pp. 1149-1156, Nov. 1995, doi: 10.1109/4.475701. [8] R. Bez, E. Camerlenghi, A. Modelli and A. Visconti, "Introduction to flash memory," in Proceedings of the IEEE, vol. 91, no. 4, pp. 489-502, April 2003, doi: 10.1109/JPROC.2003.811702. [9] Y. Koh, "NAND Flash Scaling Beyond 20nm," 2009 IEEE International Memory Workshop, Monterey, CA, 2009, pp. 1-3, doi: 10.1109/IMW.2009.5090600. [10] K. Prall, "Scaling Non-Volatile Memory Below 30nm," 2007 22nd IEEE Non-Volatile Semiconductor Memory Workshop, Monterey, CA, 2007, pp. 5-10, doi: 10.1109/NVSMW.2007.4290561. [11] S. Lee, "Scaling Challenges in NAND Flash Device toward 10nm Technology," 2012 4th IEEE International Memory Workshop, Milan, 2012, pp. 1-4, doi: 10.1109/IMW.2012.6213636. [12] Jiyoung Kim et al., "Novel Vertical-Stacked-Array-Transistor (VSAT) for ultra-high-density and cost-effective NAND Flash memory devices and SSD (Solid State Drive)," 2009 Symposium on VLSI Technology, Honolulu, HI, 2009, pp. 186-187. [13] H. Noguchi et al., "7.5 A 3.3ns-access-time 71.2μW/MHz 1Mb embedded STT-MRAM using physically eliminated read-disturb scheme and normally-off memory architecture," 2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers, San Francisco, CA, 2015, pp. 1-3, doi: 10.1109/ISSCC.2015.7062963. [14] G. De Sandre et al., "A 90nm 4Mb embedded phase-change memory with 1.2V 12ns read access time and 1MB/s write throughput," 2010 IEEE International Solid-State Circuits Conference - (ISSCC), San Francisco, CA, 2010, pp. 268-269, doi: 10.1109/ISSCC.2010.5433911. [15] D. Takashima, Y. Nagadomi and T. Ozaki, "A 100MHz ladder FeRAM design with capacitance-coupled-bitline (CCB) cell," 2010 Symposium on VLSI Circuits, Honolulu, HI, 2010, pp. 227-228, doi: 10.1109/VLSIC.2010.5560289. [16] K. Aratani et al., "A Novel Resistance Memory with High Scalability and Nanosecond Switching," 2007 IEEE International Electron Devices Meeting, Washington, DC, 2007, pp. 783-786, doi: 10.1109/IEDM.2007.4419064. [17] S. Li, C. Xu, Q. Zou, J. Zhao, Y. Lu and Y. Xie, "Pinatubo: A processing-in-memory architecture for bulk bitwise operations in emerging non-volatile memories," 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC), Austin, TX, 2016, pp. 1-6, doi: 10.1145/2897937.2898064. [18] F. Su et al., "A 462GOPs/J RRAM-based nonvolatile intelligent processor for energy harvesting IoE system featuring nonvolatile logics and processing-in-memory," 2017 Symposium on VLSI Technology, Kyoto, 2017, pp. T260-T261, doi: 10.23919/VLSIT.2017.7998149. [19] J. Zhang, Z. Wang and N. Verma, "In-Memory Computation of a Machine-Learning Classifier in a Standard 6T SRAM Array," in IEEE Journal of Solid-State Circuits, vol. 52, no. 4, pp. 915-924, April 2017, doi: 10.1109/JSSC.2016.2642198. [20] W. Khwa et al., "A 65nm 4Kb algorithm-dependent computing-in-memory SRAM unit-macro with 2.3ns and 55.8TOPS/W fully parallel product-sum operation for binary DNN edge processors," 2018 IEEE International Solid - State Circuits Conference - (ISSCC), San Francisco, CA, 2018, pp. 496-498, doi: 10.1109/ISSCC.2018.8310401. [21] X. Si et al., "24.5 A Twin-8T SRAM Computation-In-Memory Macro for Multiple-Bit CNN-Based Machine Learning," 2019 IEEE International Solid- State Circuits Conference - (ISSCC), San Francisco, CA, USA, 2019, pp. 396-398, doi: 10.1109/ISSCC.2019.8662392. [22] W. Chen et al., "A 65nm 1Mb nonvolatile computing-in-memory ReRAM macro with sub-16ns multiply-and-accumulate for binary DNN AI edge processors," 2018 IEEE International Solid - State Circuits Conference - (ISSCC), San Francisco, CA, 2018, pp. 494-496, doi: 10.1109/ISSCC.2018.8310400. [23] C. Xue et al., "24.1 A 1Mb Multibit ReRAM Computing-In-Memory Macro with 14.6ns Parallel MAC Computing Time for CNN Based AI Edge Processors," 2019 IEEE International Solid- State Circuits Conference - (ISSCC), San Francisco, CA, USA, 2019, pp. 388-390, doi: 10.1109/ISSCC.2019.8662395. [24] F. Su et al., "A 462GOPs/J RRAM-based nonvolatile intelligent processor for energy harvesting IoE system featuring nonvolatile logics and processing-in-memory," 2017 Symposium on VLSI Technology, Kyoto, 2017, pp. T260-T261, doi: 10.23919/VLSIT.2017.7998149. [25] F. Tan et al., "A ReRAM-Based Computing-in-Memory Convolutional-Macro With Customized 2T2R Bit-Cell for AIoT Chip IP Applications," in IEEE Transactions on Circuits and Systems II: Express Briefs, vol. 67, no. 9, pp. 1534-1538, Sept. 2020, doi: 10.1109/TCSII.2020.3013336. [26] C. Xue et al., "15.4 A 22nm 2Mb ReRAM Compute-in-Memory Macro with 121-28TOPS/W for Multibit MAC Computing for Tiny AI Edge Devices," 2020 IEEE International Solid- State Circuits Conference - (ISSCC), San Francisco, CA, USA, 2020, pp. 244-246, doi: 10.1109/ISSCC19947.2020.9063078. [27] Yuan Heng Tseng, Chia-En Huang, C. -. Kuo, Y. -. Chih and Chrong Jung Lin, "High density and ultra small cell size of Contact ReRAM (CR-RAM) in 90nm CMOS logic technology and circuits," 2009 IEEE International Electron Devices Meeting (IEDM), Baltimore, MD, 2009, pp. 1-4, doi: 10.1109/IEDM.2009.5424408. [28] H. Y. Lee et al., "Low power and high speed bipolar switching with a thin reactive Ti buffer layer in robust HfO2 based RRAM," 2008 IEEE International Electron Devices Meeting, San Francisco, CA, 2008, pp. 1-4, doi: 10.1109/IEDM.2008.4796677. [29] A. Ranjan et al., "Analysis of quantum conductance, read disturb and switching statistics in HfO2 RRAM using conductive AFM," Microelectronics Reliability Volume 64, September 2016, Pages 172-178 [30] Byoungil Lee and H. -. P. Wong, "NiO resistance change memory with a novel structure for 3D integration and improved confinement of conduction path," 2009 Symposium on VLSI Technology, Honolulu, HI, 2009, pp. 28-29. [31] U. Russo, D. Ielmini, C. Cagli and A. L. Lacaita, "Self-Accelerated Thermal Dissolution Model for Reset Programming in Unipolar Resistive-Switching Memory (RRAM) Devices," in IEEE Transactions on Electron Devices, vol. 56, no. 2, pp. 193-200, Feb. 2009, doi: 10.1109/TED.2008.2010584. [32] L. Zhang et al., "Unipolar TaOx-Based Resistive Change Memory Realized With Electrode Engineering," in IEEE Electron Device Letters, vol. 31, no. 9, pp. 966-968, Sept. 2010, doi: 10.1109/LED.2010.2052091. [33] Ching-Hua Wang et al., "Three-dimensional 4F2 ReRAM cell with CMOS logic compatible process," 2010 International Electron Devices Meeting, San Francisco, CA, 2010, pp. 29.6.1-29.6.4, doi: 10.1109/IEDM.2010.5703446. [34] K. Aratani et al., "A Novel Resistance Memory with High Scalability and Nanosecond Switching," 2007 IEEE International Electron Devices Meeting, Washington, DC, 2007, pp. 783-786, doi: 10.1109/IEDM.2007.4419064. [35] J. Lee et al., "Diode-less nano-scale ZrOx/HfOx RRAM device with excellent switching uniformity and reliability for high-density cross-point memory applications," 2010 International Electron Devices Meeting, San Francisco, CA, 2010, pp. 19.5.1-19.5.4, doi: 10.1109/IEDM.2010.5703393. [36] J. Colinge, et al., “Physics of Semiconductior Devices,” Springer-Verlag, NY, pp. 175-182, 2002. [37] B. Wicht, T. Nirschl and D. Schmitt-Landsiedel, "A yield-optimized latch-type SRAM sense amplifier," ESSCIRC 2004 - 29th European Solid-State Circuits Conference (IEEE Cat. No.03EX705), Estoril, Portugal, 2003, pp. 409-412, doi: 10.1109/ESSCIRC.2003.1257159. [38] J. Javanifard et al., "A 45nm Self-Aligned-Contact Process 1Gb NOR Flash with 5MB/s Program Speed," 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers, San Francisco, CA, 2008, pp. 424-624, doi: 10.1109/ISSCC.2008.4523238. [39] C. Lin et al., "7.4 A 256b-wordlength ReRAM-based TCAM with 1ns search-time and 14× improvement in wordlength-energyefficiency-density product using 2.5T1R cell," 2016 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, 2016, pp. 136-137, doi: 10.1109/ISSCC.2016.7417944. [40] W. Khwa et al., "A 65nm 4Kb algorithm-dependent computing-in-memory SRAM unit-macro with 2.3ns and 55.8TOPS/W fully parallel product-sum operation for binary DNN edge processors," 2018 IEEE International Solid - State Circuits Conference - (ISSCC), San Francisco, CA, 2018, pp. 496-498, doi: 10.1109/ISSCC.2018.8310401. [41] J. Su et al., "15.2 A 28nm 64Kb Inference-Training Two-Way Transpose Multibit 6T SRAM Compute-in-Memory Macro for AI Edge Chips," 2020 IEEE International Solid- State Circuits Conference - (ISSCC), San Francisco, CA, USA, 2020, pp. 240-242, doi: 10.1109/ISSCC19947.2020.9062949.
|