帳號:guest(18.116.60.10)          離開系統
字體大小: 字級放大   字級縮小   預設字形  

詳目顯示

以作者查詢圖書館館藏以作者查詢臺灣博碩士論文系統以作者查詢全國書目
作者(中文):李俊穎
作者(外文):Lee, Chun-Ying
論文名稱(中文):基於記憶體安全相關應用之低峰值低能耗多位元電流感測放大器及內嵌式高面積效率近記憶體運算功能電路
論文名稱(外文):A Low Peak Current Low Energy Multi-bit Current Sense Amplifier with Embedded Area-Efficient Near-Memory-Computing Function for Memory Security Applications
指導教授(中文):張孟凡
指導教授(外文):Chang, Meng-Fan
口試委員(中文):邱瀝毅
呂仁碩
口試委員(外文):Lih, Yih Chiou
Liu, Ren-Shuo
學位類別:碩士
校院名稱:國立清華大學
系所名稱:電機工程學系
學號:107061561
出版年(民國):109
畢業學年度:109
語文別:英文
論文頁數:88
中文關鍵詞:記憶體安全相關應用多位元電流感測放大器近記憶體運算
外文關鍵詞:MemorySecurity ApplicationsMulti-bit Current Sense AmplifierNear-Memory-Computing
相關次數:
  • 推薦推薦:0
  • 點閱點閱:552
  • 評分評分:*****
  • 下載下載:0
  • 收藏收藏:0
目前非揮發性記憶體的發展在記憶體市場上具有龐大的潛力,現今以快閃記憶體為最大宗,然而快閃記憶體需要在高電壓下才能進行寫入和抹除資料,且操作速度較慢並且難以隨著先進製程一直微縮。因此,下世代新型的非揮發性記憶體如STT-MRAM,ReRAM等,可以在低電壓下操作且有百倍以上的操作速度,成為了取代快閃記憶體的選擇並應用在各式各樣需要高速運算的終端裝置上。
而現今許多終端設備與機器上,對於資料安全防護的意識與需求越來越高,這些裝置多數使用安全散列算法(SHA)或進階加密標準(AES)演算法將內部的資料以及明文進行數據加密。而這些操作需要高速的讀取速度和可以搭配wide-IO的非揮發性記憶體(NVM)來實現高讀取帶寬。此外,為了減少傳統馮紐曼(Von Neumann)架構運算中大量的資料搬移,在記憶體內放置運算單元的近記憶體運算 (Near memory computing)可以有效降低安全相關演算法的運算時間以及功耗。
自旋力矩轉移-磁阻式隨機存取記憶體(STT-MRAM)是主要用於先進製程節點的on-chip非揮發性記憶體,有著現在非揮發性記憶體中最快的讀取速度。但是,它需要具備小偏移量的感測放大器才能容忍微小的穿隧式磁阻比例(TMR-Ratio)來進行穩定的讀取,會造成大量的面積消耗和讀取能量(ERD)。因此設計一個高讀取帶寬、安全相關自旋力矩轉移-磁阻式隨機存取記憶體運算巨集主要面臨的挑戰有:
1. 使用大量的感測放大器進行平行讀取,可實現較短的讀取時間,但會導致峰值電流(IPEAK)提高和消耗大量面積和能量。若使用較少數目的感測放大器依序讀取多位元可減少高峰值電流和面積及能量消耗,但會導致較長的讀取時間進而降低讀取帶寬。
2. 具有較高峰值電流的自旋力矩轉移-磁阻式隨機存取記憶體巨集會降低晶片的電源穩定性,可能會導致同一晶片上對雜訊敏感的區塊出現故障。
3. 傳統的記憶體-邏輯單元分離架構於非揮發性記憶體的安全邏輯運算會導致較長的延遲時間 (wide-IO讀取及觸發器做移位/旋轉位元需要兩個週期),以及消耗額外的面積跟能量。
本論文主要討論自旋力矩轉移-磁阻式隨機存取記憶體在高帶寬讀取中的出現的問題,以及傳統馮紐曼架構的效能瓶頸,並提出結合了低能耗多位元電流感測放大器(LEMB-CSA)以及高面積效率近記憶體運算之電路。放大器具有電流裕度持續增強、製程變異容忍、小面積、低峰值電流、低能耗的特性;而內嵌於感測放大器之下的近記憶體運算電路具有高面積效率以及低功耗的表現,有效解決了前面所提到的設計挑戰。
在台積電22 奈米製程分析下,我們提出的讀取架構相較於傳統電流感測放大器可有35.2%的良率改善且多容忍80%的穿隧式磁阻比例。此外,減少的參考電流數量和流水線電流採樣方式使我們提出的感測放大器的能耗相較於2020年ISSCC發表的多位元電流感測放大器減少了36.4%,峰值電流降低了40%,可容忍之偏移量提升1.3倍,而僅付出相對於傳統電流感測放大器(並行感測) 18.2%讀取速度的代價。而我們提出的近記憶體運算電路可以減少33.3%的面積消耗和48.8%的功耗,並可以結合電流感測放大器的讀取操作,在一個工作週期內完成移位/旋轉位元的邏輯運算。
最後,我們與台積電合作在22奈米以及28奈米的CMOS工藝中實做並驗證我們提出的架構,本篇的量測驗證以28奈米的記憶體測試巨集為主,在VDD = 0.9伏特時,8個位元的讀取速度 = 3.12奈秒(ns),而在感測8位元+完成1位元移位/旋轉的近記憶體運算模式中為3.29奈秒(ns),僅額外多消耗了0.17奈秒(ns)。
The development of non-volatile memory (NVM) has great potential on storage memory market now, especially for the flash memory. However, the flash memory requires high voltage to program and erase data, low operation speed and it is hard to scaling down in the advance technology node. So the emerging non-volatile memory such as STT-MRAM, ReRAM which can operate in low supply voltage and achieve hundred times of operation speed become the choice to replace flash memory, and used in many kinds of edge devices that require to compute in high speed.
The awareness and requirement of data protection are increasingly concerned in many edge devices and machines. Most of these applications use Secure Hash Algorithm (SHA) or Advanced Encryption Standard (AES) functions for data/plaintext encryption, and they require high read speed and non-volatile memory (NVM) that can be used with wide-IO to achieve high read bandwidth. Besides, to reduce the large amount of data movement in typical Von Neumann architecture, near-memory-computing can decrease the security-related algorithms latency and power consumption efficiently.
Spin Torque Transfer-Magnetoresistive Random Access Memory (STT-MRAM) is the major on-chip NVM for advanced process nodes, it has the fastest read speed in the recent NVMs; however, it requires small-offset sense amplifiers (SAs) for robust read against small TMR-ratio at the expense of large area overhead and read-energy (ERD). Therefore, design a high bandwidth STT-MRAM macro for security-related applications imposes the following challenges:
1. Using a large number of SAs for parallel readout to achieve short TAC, but results in high peak current (IPEAK) and large area overhead. Using fewer SAs for sequential readout reduces IPEAK and area overhead, but imposes long TAC and decreases read-bandwidth (BWR).
2. MRAM macros with high IPEAK degrade the supply (VDD) integrity of the chip, often leading to failure in noise-sensitive blocks on the same chip.
3. A conventional memory-logic-separated scheme imposes a long latency (2 cycles: wide-IO memory read + flip-flop (FF) shift/rotate), extra area overhead, and power consumption for NVM-based security logic operations.
In this thesis, we mainly discuss the issues in high bandwidth reading with STT-MRAM, and the performance bottleneck in typical Von Neumann architecture. Then we propose a low energy multi-bit current sense amplifier (LEMB-CSA), which is featured with continuously margin enhancement, offset suppression, small area, low peak current, and low energy consumption. We also propose the high area efficient, low power consumption near-memory-computing circuit which is embedded in sense amplifier to solve the design challenges we have mentioned before.
In TSMC 22 nm technology analysis, our proposed sense amplifier achieves 35.2% yield improvement and >80% lower tolerance on TMR-ratio than conventional sensing scheme. Moreover, the reduced number of IREF and pipeline current sampling method allows LEMB-CSA has 36.4% reduction in energy consumption, 40% reduction in peak current, and can tolerate 1.3 times offset current compared with MB-CSA published in ISSCC 2020. The speed overhead is only 18.2% compared with conventional read schemes (parallel sensing). The proposed near memory computing circuit can reduce 33.3% area overhead and 48.8% power consumption, and it can finish the shift/rotate logic computing in 1-cycle combined with read operation of the current sense amplifier.
Finally, our proposed scheme are verified in TSMC 22nm and 28nm CMOS process, the measurement results are depending on the 28nm test-mode memory macro. The access time of sense amplifier 8b sensing = 3.12ns at VDD = 0.9V, and the access time of 8b readout + 1b shift/rotate NMC operation mode required 3.29ns and consumed only 170ps over a typical memory access.
Contents
摘要 ii
Abstract v
致謝 viii
Contents ix
List of Figures xi
List of Tables xv
Chapter 1 Introduction 1
1.1 The Role of Memory in SoC products 1
1.2 Memory Landscape 2
1.3 Challenges of Flash Memory 5
1.4 Emerging Non-Volatile Memories 8
1.5 Von Neumann Bottleneck 13
1.6 Computing-In-Memory (CIM) 14
Chapter 2 Characteristic of STT-MRAM 16
2.1 Introduction of MRAM 16
2.2 Read and Write Operations of STT-MRAM 19
2.3 Recent Development of STT-MRAM 22
Chapter 3 Design Challenges of STT-MRAM 26
3.1 Sense Amplifier Design Challenges 26
3.1.1 Threshold Voltage in Process 26
3.1.2 Issues of STT-MRAM 28
3.2 Structures and Operations of Conventional Sensing Schemes 29
3.3 Previous Small Offset Current Sense Amplifiers 32
3.4 Previous art of Near-Memory-Computing (NMC) 38
3.5 Application of High Bandwidth Combined with NMC 39
Chapter 4 Proposed Circuits and Analysis 41
4.1 Motivation and Concept of Proposed Read Scheme 41
4.1.1 Two-stage Precharge and Sequential Sensing 43
4.1.2 Structure of Proposed LEMB-CSA 44
4.1.3 Operations of Proposed Sense Amplifier 45
4.2 Motivation and Concept of Proposed Near-Memory-Computing Scheme 56
4.2.1 Structure and operation of Proposed Near-Memory-Computing Unit (NMCU) 57
4.3 Analyses and Comparison 59
4.3.1 Tolerance of Low TMR-Ratio and Sensing Yield 60
4.3.2 CSA Performance Comparison 62
4.3.3 Precharged Array Speed Analyses 64
4.3.4 Comparison Table of Recent CSAs 66
4.3.5 NMC Performance Comparison 67
Chapter 5 Measurement Result and Conclusion 68
5.1 STT-MRAM Macro Implementation 68
5.2 Design for Test 70
5.3 Measurement results 71
5.4 Conclusions and Future Work 79
Reference 81

List of Figures
Figure 1 1 Tree Diagram of Memory Categories 3
Figure 1 2 Memory Hierarchy [12] 5
Figure 1 3 Challenges in Flash Memory Scaling [14] 6
Figure 1 4 Capacitive Coupling between Floating Gate Cell [15] 7
Figure 1 5 Number of Electrons on FG in Different Technology [16] 7
Figure 1 6 Structure of HfOX based 1T1R cell 9
Figure 1 7 Von Neumann architecture 14
Figure 1 8 (a) In-Memory-Computing architecture (IMC) (b) Near-Memory-Computing architecture (NMC) 15
Figure 2 1 MTJ structure and resistance states– Parallel state (P) and Anti-Parallel state (AP) 17
Figure 2 2 Cell architecture of (a) Magnetic-field switched, and (b) STT-MRAM [37] 18
Figure 2 3 STT-MRAM array architecture and R-I curve (R-I house) 19
Figure 2 4 (a) Write threshold current vs. Write pulse width (b) Switching Probability vs. Write current 20
Figure 2 5 (a) Die photo and Summary table of 4Gbit STT-MRAM chip [40] (b) The SEM image of 4Gbit-MTJ array [41] 22
Figure 2 6 (a) Die photo and Summary table of 4Mb STT-MRAM chip [42] and (b) Normalized LLC energy of SRAM and STT-MRAM [39] 23
Figure 2 7 (a) Enhanced read current window and (b) Die photo and Summary table of 16Mb perpendicular STT-MRAM chip [43] 24
Figure 2 8 Shmoo plot of read operation and (b) Summary table and Die photo of 32Mb N22 STT-MRAM chip [44] 24
Figure 3 1 (a) Distribution of 1T1MTJ (P and AP) resistance (b) LRS vs. R-ratio 29
Figure 3 2 (a) Structure and (b) waveform of Current-Mirror CSA (CM-CSA) 30
Figure 3 3 (a) Structure and (b) waveform of Current-Latch CSA (CL-CSA) 31
Figure 3 4 Current-Sampling-Based Sense Amplifier (CSB-SA) [55] 32
Figure 3 5 Time-Differential Current Sense Amplifier (TD-CSA) [56] 33
Figure 3 6 Covalent-Bonded Sense Amplifier (CB-CSA) [57] 34
Figure 3 7 Margin-Double Sense Amplifier (MD-SA) [58] 35
Figure 3 8 Structure of proposed Multibit Current Sense Amplifier (MB-CSA) [59] 36
Figure 3 9 (a) NC-FinFET-based 1-bit full-adder (b) Truth table of full adder [60] 38
Figure 3 10 (a) 10T bitcells and NMC circuit combine with SA. (b) Shifter/Rotator based on barrel shifter structure. [61] 39
Figure 3 11 Proposed work in STT-MRAM Macro compared with the conventional memory-logic-separated scheme 40
Figure 4 1 Macro Energy consumption for different IO numbers 42
Figure 4 2 (a) Conv. Parallel (b) Conv. Sequential (c) Proposed sensing method 42
Figure 4 3 (a) Current path (b) Peak Current of CL-CSA 43
Figure 4 4 (a) Conventional no precharged array (b) Precharged array 44
Figure 4 5 Structure of proposed Multibit Small Energy Current Sense Amplifier (LEMB-CSA) 45
Figure 4 6 (a) 1st 2b-output, SP1, quad current sampling 47
Figure 4 6 (b) 1st 2b-output, SP2, quad current subtraction and margin enhanced feedback 48
Figure 4 6 (c) 1st 2b-output, SP3, reusing latch and output (BL[3], BL[4]) 49
Figure 4 7 (a) 2nd 2b-output, SP1, quad current sampling 49
Figure 4 7 (b) 2nd 2b-output, SP2, quad current subtraction and margin enhanced feedback 50
Figure 4 7 (c) 2nd 2b-output, SP3, reusing and latch (BL[2], BL[5]) 50
Figure 4 8 (a) 3rd 2b-output, SP1, quad current sampling 51
Figure 4 8 (b) 3rd 2b-output, SP2, quad current subtraction and margin enhanced feedback 51
Figure 4 8 (c) 3rd 2b-output, SP3, reusing and latch (BL[1], BL[6]) 52
Figure 4 9 (a) 4th 2b-output, SP1, quad current sampling 52
Figure 4 9 (b) 4th 2b-output, SP2, quad current subtraction and margin enhanced feedback 53
Figure 4 9 (c) 4th 2b-output, SP3, reusing and latch (BL[0], BL[7]) 53
Figure 4 10 Structure of the Multibit Output Switches (MBOSWs) with 8 Output Latches 54
Figure 4 11 Operation formulas of each sub-phase 54
Figure 4 12 The sensing flow chart and detail in SP2 55
Figure 4 13 Waveform of proposed LEMB-CSA 55
Figure 4 14 Shift register consists of D flip-flop chain. 56
Figure 4 15 Memory macro architecture and structure of the proposed NMCU 57
Figure 4 16 NMC unit (NMCU) 1b-shift operation waveform and concept description 58
Figure 4 17 NMC unit (NMCU) 1b-rotate operation waveform and concept description 59
Figure 4 18 P-type MOSCAP Capacitance vs. Voltage Difference 60
Figure 4 19 Sampled Current Deviation Rate vs. Capacitance 61
Figure 4 20 Comparison of Sensing Yield vs. TMR-ratio 62
Figure 4 21 (a) MB-CSA performance comparison to CL-CSA parallel sensing [59] (b) LEMB-CSA performance comparison to MB-CSA 63
Figure 4 22 Performance of Sensing Different bits 64
Figure 4 23 Precharge vs. Conventional Access Time with different BL-Length 65
Figure 4 24 NMCU power, area comparison with conventional memory-logic-separated scheme 67
Figure 5 1 (a) Block diagram of 22nm macro architecture (b) Block diagram of 28nm macro architecture 69
Figure 5 2 (a) PAD delay TAC measurement (b) D Flip-Flop TAC measurement 71
Figure 5 3 Die Photo of the 28nm test-mode Macro 71
Figure 5 4 Measured Waveform of proposed LEMB-CSA TAC=3.12ns 73
Figure 5 5 Measured Waveform of CL-CSA with TAC=2.25ns 74
Figure 5 6 Measured Waveform of LEMB-CSA + 1 bit shift/rotate operation TAC-NMC=3.29ns 76
Figure 5 7 Measured Waveform of proposed SA + 4 bit shift operation 76
Figure 5 8 Measured Waveform of proposed SA + 4 bit rotate operation 77
Figure 5 9 Measured Access time Shmoo Plot of proposed works and CL-CSA 78

List of Tables
Table 1 1 Summary of Modern Flash Memory 8
Table 1 2 Comparisons of Emerging NVMs 12
Table 1 3 Summary of Recent Published Emerging NVMs 13
Table 2 1 Bias Condition of STT-MRAM Cell 21
Table 2 2 Summary table of Published STT-MRAM cells 25
Table 4 1 Comparison table of recent CSAs 66
Table 5 1 Summary Table of 28nm test-mode Memory Macro 80
Reference
[1] Kiyoo Itoh, Takayasu Sakurai, “VLSI Memory Chip Design”, Springer-Verlag, NY, pp. 1-46, 2001.
[2] M. Bohr, "The new era of scaling in an SoC world," 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers, San Francisco, CA, 2009, pp. 23-28.
[3] F. Menichelli and M. Olivieri, "Static Minimization of Total Energy Consumption in Memory Subsystem for Scratchpad-Based Systems-on-Chips," in IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 17, no. 2, pp. 161-171, Feb. 2009.
[4] D. Smith, J. Zeiter, T. Bowman, J. Rahm, B. Kertis, A. Hall, S. Natan, L. Sanderson, R. Tromp, J. Tsang, “A 3.6ns 1Kb ECL I/O BiCMOS U.V. EPROM,” IEEE International Symposium on Circuits and Systems, vol. 3, pp. 1987-1990, May 1990.
[5] C. Kuo et al., "A 512-kb flash EEPROM embedded in a 32-b microcontroller," in IEEE Journal of Solid-State Circuits, vol. 27, no. 4, pp. 574-582, Apr 1992.
[6] S. H. Kulkarni, Z. Chen, J. He, L. Jiang, M. B. Pedersen and K. Zhang, "A 4 kb Metal-Fuse OTP-ROM Macro Featuring a 2 V Programmable 1.37um2 1T1R Bit Cell in 32 nm High-k Metal-Gate CMOS," in IEEE Journal of Solid-State Circuits, vol. 45, no. 4, pp. 863-868, April 2010.
[7] Y. H. Tsai et al., "45nm Gateless Anti-Fuse Cell with CMOS Fully Compatible Process," 2007 IEEE International Electron Devices Meeting, Washington, DC, 2007, pp. 95-98.
[8] Webfeet Inc., “Semiconductor industry outlook,” Non-Volatile Memory Conference, Santa Clara, CA., 2002
[9] Sang Lyul Min and Eyee Hyun Nam, "Current trends in flash memory technology," Asia and South Pacific Conference on Design Automation, 2006., Yokohama, 2006
[10] F. Masuoka, M. Momodomi, Y. Iwata and R. Shirota, "New ultra high density EPROM and flash EEPROM with NAND structure cell," 1987 International Electron Devices Meeting, 1987, pp. 552-555.
[11] A. Bergemont, H. Haggag, L. Anderson, E. Shacham and G. Wolstenholme, "NOR virtual ground (NVG)-a new scaling concept for very high density flash EEPROM and its implementation in a 0.5 um process," Proceedings of IEEE International Electron Devices Meeting, Washington, DC, USA, 1993, pp. 15-18.
[12] R. F. Freitas and W. W. Wilcke, “Storage-class memory: The next storage system
technology,” IBM Journal of Research and Development, vol. 52, no. 4-5, pp. 439-447,July 2008.
[13] R. Bez, E. Camerlenghi, A. Modelli, A. Visconti, "Introduction to Flash Memory," Proceeding of the IEEE, vol. 91, Issue 4, pp. 489-502, April 2003.
[14] Y. Koh, “NAND Flash Scaling beyond 20nm,” IEEE Internstional Memory Workshop, pp. 1-3, May 2009.
[15] K. Prall, “Scaling Non-Volatile Memory Below 30nm,” IEEE Non-Volatile Semiconductor Memory Workshop, pp. 5-10, Aug. 2007.
[16] S. Lee, "Scaling Challenges in NAND Flash Device toward 10nm Technology," IEEE International Memory Workshop, pp. 1-4, May 2012.
[17] J. Kim, A. J. Hong, S. M. Kim, E. B. Song, J. H. Park, J. Han, S. Choi, D. Jang, J. T. Moon, K. L.Wang, “Novel Vertical-Stacked-Array-Transistor (VSAT) for ultra-high-density and cost-effective NAND Flash memory devices and SSD (Solid State Drive),” IEEE Symposium on VLSI Technology Digest of Technical Papers, pp. 186-187, June 2009.
[18] M. Sako et al., "7.1 A low-power 64Gb MLC NAND-flash memory in 15nm CMOS technology," 2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers, San Francisco, CA, 2015, pp. 1-3.
[19] D. Kang et al., "256Gb 3b/cell V-NAND flash memory with 48 stacked WL layers," IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp. 130-131, Feb. 2016.
[20] H. Maejima et al., "A 512Gb 3b/Cell 3D flash memory on a 96-word-line-layer technology," 2018 IEEE International Solid - State Circuits Conference - (ISSCC), San Francisco, CA, 2018, pp. 336-338.
[21] N. Shibata et al., "13.1 A 1.33Tb 4-bit/Cell 3D-Flash Memory on a 96-Word-Line-Layer Technology," 2019 IEEE International Solid- State Circuits Conference - (ISSCC), San Francisco, CA, USA, 2019, pp. 210-212, doi: 10.1109/ISSCC.2019.8662443.
[22] Kang, Dongku et al. “13.4 A 512Gb 3-bit/Cell 3D 6th-Generation V-NAND Flash Memory with 82MB/s Write Throughput and 1.2Gb/s Interface.” 2019 IEEE International Solid- State Circuits Conference - (ISSCC) (2019): 216-218.
[23] Jain, Pulkit et al. “13.2 A 3.6Mb 10.1Mb/mm2 Embedded Non-Volatile ReRAM Macro in 22nm FinFET Technology with Adaptive Forming/Set/Reset Schemes Yielding Down to 0.5V with Sensing Time of 5ns at 0.7V.” 2019 IEEE International Solid- State Circuits Conference - (ISSCC) (2019): 212-214.
[24] H. Shiga et al., "A 1.6GB/s DDR2 128Mb chain FeRAM with scalable octal bitline and sensing schemes," 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers, San Francisco, CA, 2009, pp. 464-465,465a, doi: 10.1109/ISSCC.2009.4977509.
[25] G. De Sandre et al., "A 90nm 4Mb embedded phase-change memory with 1.2V 12ns read access time and 1MB/s write throughput," 2010 IEEE International Solid-State Circuits Conference - (ISSCC), San Francisco, CA, 2010, pp. 268-269, doi: 10.1109/ISSCC.2010.5433911.
[26] L. Wei et al., "13.3 A 7Mb STT-MRAM in 22FFL FinFET Technology with 4ns Read Sensing Time at 0.9V Using Write-Verify-Write Scheme and Offset-Cancellation Sensing Technique," 2019 IEEE International Solid- State Circuits Conference - (ISSCC), San Francisco, CA, USA, 2019, pp. 214-216, doi: 10.1109/ISSCC.2019.8662444.
[27] Y. Chih et al., "13.3 A 22nm 32Mb Embedded STT-MRAM with 10ns Read Speed, 1M Cycle Write Endurance, 10 Years Retention at 150°C and High Immunity to Magnetic Field Interference," 2020 IEEE International Solid- State Circuits Conference - (ISSCC), San Francisco, CA, USA, 2020, pp. 222-224, doi: 10.1109/ISSCC19947.2020.9062955.
[28] D. Kuzum et al., “Nanoelectronic programmable synapses based on phase change materials for brain-inspired computing,” Nano Letters 12 (5), 2179-2186, 2012.
[29] J. von Neumann “First Draft of a Report on the EDVAC,” 1945
[30] J. Backus, ‘‘Can programming be liberated from the von Neumann style?: A functional
style and its algebra of programs,’’ Commun. ACM, vol. 21, no. 8, pp. 613–641, 1978
[31] B. Chen, et al., “Efficient in-memory computing architecture based on crossbar arrays,” IEEE International Electron Devices Meeting (IEDM), pp. 459-462, 2015
[32] S. Li, et al., “Pinatubo: A Processing-in-Memory Architecture for Bulk Bitwise Operations in Emerging Non-volatile Memories,” ACM/EDAC/IEEE Design Automation Conference (DAC), pp. 1-6, 2016
[33] J. C. S. Kools, "Exchange-biased spin-valves for magnetic storage," in IEEE Transactions on Magnetics, vol. 32, no. 4, pp. 3165-3184, Jul 1996.
[34] S. Tehrani, J. M. Slaughter, E. Chen, M. Durlam, J. Shi and M. DeHerren, "Progress and outlook for MRAM technology," in IEEE Transactions on Magnetics, vol. 35, no. 5, pp. 2814-2819, Sep 1999.
[35] S. Tehrani et al., "Recent developments in magnetic tunnel junction MRAM," in IEEE Transactions on Magnetics, vol. 36, no. 5, pp. 2752-2757, Sep 2000.
[36] K. C. Chun, H. Zhao, J. D. Harms, T. H. Kim, J. P. Wang and C. H. Kim, "A Scaling Roadmap and Performance Evaluation of In-Plane and Perpendicular MTJ Based STT-MRAMs for High-Density Cache Memory," in IEEE Journal of Solid-State Circuits, vol. 48, no. 2, pp. 598-610, Feb. 2013.
[37] Alexander Driskill-Smith, "New Samsung Open Innovation Program For STT-MRAM Technology - An Interview With Alexander Driskill-Smith" AZO Materials Sep, 2013
[38] M. Hosomi et al., "A novel nonvolatile memory with spin torque transfer magnetization switching: spin-ram," IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest., Washington, DC, 2005, pp. 459-462.
[39] H. Noguchi et al., "A 3.3ns-access-time 71.2μW/MHz 1Mb embedded STT-MRAM using physically eliminated read-disturb scheme and normally-off memory architecture," 2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers, San Francisco, CA, 2015, pp. 136-137.
[40] K. Rho et al., "A 4Gb LPDDR2 STT-MRAM with compact 9F2 1T1MTJ cell and hierarchical bitline architecture," 2017 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, 2017, pp. 396-397
[41] S. W. Chung et al., "4Gbit density STT-MRAM using perpendicular MTJ realized with compact cell structure," 2016 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, 2016, pp. 27.1.1-27.1.4.
[42] H. Noguchi et al., "4Mb STT-MRAM-based cache with memory-access-aware power optimization and write-verify-write / read-modify-write scheme," IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp. 132-133, Feb. 2016.
[43] Yi-Chun Shih et al.,, " Logic Process Compatible 40nm 16Mb, Embedded Perpendicular-MRAM with Hybrid-Resistance Reference, sub-μA Sensing Resolution, and 17.5nS Read Access Time," IEEE Symposium on VLSI Circuits Digest of Technical Papers , June 2018.
[44] Y. Chih et al., "13.3 A 22nm 32Mb Embedded STT-MRAM with 10ns Read Speed, 1M Cycle Write Endurance, 10 Years Retention at 150°C and High Immunity to Magnetic Field Interference," 2020 IEEE International Solid- State Circuits Conference - (ISSCC), San Francisco, CA, USA, 2020, pp. 222-224, doi: 10.1109/ISSCC19947.2020.9062955.
[45] C. Park et al., "Systematic optimization of 1 Gbit perpendicular magnetic tunnel junction arrays for 28 nm embedded STT-MRAM and beyond," 2015 IEEE International Electron Devices Meeting (IEDM), Washington, DC, 2015, pp. 26.2.1-26.2.4.
[46] Y. J. Song et al., "Highly functional and reliable 8Mb STT-MRAM embedded in 28nm logic," 2016 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, 2016, pp. 27.2.1-27.2.4.
[47] J. M. Slaughter et al., "Technology for reliable spin-torque MRAM products," 2016 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, 2016, pp. 21.5.1-21.5.4.
[48] G. Hu et al., "Key parameters affecting STT-MRAM switching efficiency and improved device performance of 400°C-compatible p-MTJs," 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, 2017, pp. 38.3.1-38.3.4.
[49] K. Lee et al., "1Gbit High Density Embedded STT-MRAM in 28nm FDSOI Technology," 2019 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2019, pp. 2.2.1-2.2.4, doi: 10.1109/IEDM19573.2019.8993551.
[50] S. Song et al., "CMOS device scaling beyond 100 nm," International Electron Devices Meeting 2000. Technical Digest. IEDM (Cat. No.00CH37138), San Francisco, CA, USA, 2000, pp. 235-238.
[51] Jean-Pierre Colinge, Cynthia A. Colinge, “Physics of Semiconductior Devices.” Springer-Verlag, NY, pp. 175-182, 2002.
[52] E. Morifuji et al., "A 1.5 V high performance mixed signal integration with indium channel for 130 nm technology node," International Electron Devices Meeting 2000. Technical Digest. IEDM (Cat. No.00CH37138), San Francisco, CA, USA, 2000, pp. 459-462.
[53] C. H. Shih, Y. M. Chen and C. Lien, "Effect of insulated shallow extension for the improved short-channel effect of sub-100 nm MOSFET," International Semiconductor Device Research Symposium, pp. 158-159, Dec. 2003.
[54] S. Severi et al., "Diffusion-less junctions and super halo profiles for PMOS transistors formed by SPER and FUSI gate in 45 nm physical gate length devices," IEDM Technical Digest. IEEE International Electron Devices Meeting, 2004., 2004, pp. 99-102.
[55] M. F. Chang et al., "An offset-tolerant current-sampling-based sense amplifier for Sub-100nA-cell-current nonvolatile memory," 2011 IEEE International Solid-State Circuits Conference, San Francisco, CA, 2011, pp. 206-208.
[56] M. Jefremow et al., "Time-differential sense amplifier for sub-80mV bitline voltage embedded STT-MRAM in 40nm CMOS," 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers, San Francisco, CA, 2013, pp. 216-217.
[57] C. Kim, et al., “A covalent-bonded cross-coupled current-mode sense amplifier for STT-MRAM with 1T1MTJ common source-line structure array,” IEEE International Solid-State Circuits Conference (ISSCC), pp. 1-3, 2015.
[58] Q. Dong, et al., “A 1Mb embedded NOR flash memory with 39µW program power for mm-scale high-temperature sensor nodes,” IEEE International Solid-State Circuits Conference (ISSCC), pp. 198-199, 2017.
[59] T. Chang et al., "13.4 A 22nm 1Mb 1024b-Read and Near-Memory-Computing Dual-Mode STT-MRAM Macro with 42.6GB/s Read Bandwidth for Security-Aware Mobile Devices," 2020 IEEE International Solid- State Circuits Conference - (ISSCC), San Francisco, CA, USA, 2020, pp. 224-226, doi: 10.1109 / ISSCC19947.2020.9063072.
[60] F. Hsueh et al., "Ultra-Low Power 3D NC-FinFET-based Monolithic 3D+ -IC with Computing-in-Memory for Intelligent IoT Devices," 2018 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, 2018, pp. 15.1.1-15.1.4, doi: 10.1109/IEDM.2018.8614697.
[61] Y. Zhang, L. Xu, Q. Dong, J. Wang, D. Blaauw and D. Sylvester, "Recryptor: A Reconfigurable Cryptographic Cortex-M0 Processor With In-Memory and Near-Memory Computing for IoT Security," in IEEE Journal of Solid-State Circuits, vol. 53, no. 4, pp. 995-1005, April 2018, doi: 10.1109/JSSC.2017.2776302.
(此全文20251013後開放外部瀏覽)
電子全文
中英文摘要
 
 
 
 
第一頁 上一頁 下一頁 最後一頁 top
* *