帳號:guest(3.15.188.93)          離開系統
字體大小: 字級放大   字級縮小   預設字形  

詳目顯示

以作者查詢圖書館館藏以作者查詢臺灣博碩士論文系統以作者查詢全國書目
作者(中文):林言謙
作者(外文):Lin, Yan-Cian
論文名稱(中文):應變引致先進半導體元件效能提升之力學解析推導與驗證
論文名稱(外文):Mechanical-Based Analytical Derivation and Verification for the Performance Enhancement of Strain-Induced Advanced Semiconductor Device
指導教授(中文):李昌駿
指導教授(外文):Lee, Chang-Chun
口試委員(中文):葉孟考
張書通
徐烱勛
口試委員(外文):Yen, Meng-Kao
Chang, Shu-Tong
Hsu, Jiong-Shiun
學位類別:碩士
校院名稱:國立清華大學
系所名稱:動力機械工程學系
學號:107033553
出版年(民國):109
畢業學年度:108
語文別:中文
論文頁數:172
中文關鍵詞:金氧半場效電晶體應變工程技術源/汲極晶格不匹配應力淺溝槽內應力力學解析解載子遷移率電性分析
外文關鍵詞:MOSFETStrain EngineeringS/D Lattice Mismatch StressSTI Internal StressMechanical-Based Analytical SolutionMobilityElectrical Analysis
相關次數:
  • 推薦推薦:0
  • 點閱點閱:571
  • 評分評分:*****
  • 下載下載:0
  • 收藏收藏:0
肇因於半導體技術的迅速發展,前瞻晶片內之元件目前已隨著莫爾定律微縮至奈米尺度。其中,互補式金氧半導體(CMOS)結構長期皆為整體積體電路之主流技術。然而,在節點技術急遽微縮以迎合效能提升的需求下,亦面臨在製程與效能等方面之巨大挑戰。因此,發展出具有金屬閘極/高介電係數之介電層(Metal Gate/High-k Dielectric)、應變工程技術、具高載子遷移率之新式材料,以及下一世代之三維鰭式元件結構。在研發與設計階段,若需製造與量測元件效能,通常需要大量的技術與時間成本投入,故若能夠簡單快速並準確預估元件效能,為半導體元件研發與競爭力提升之重要關鍵之技術。
有鑑於此,本研究中建立力學解析模型,用於計算載子傳輸通道之應力分佈。藉由導入應變工程技術之元件,產生機械應變致使傳輸通道之材料能帶結構改變,進而提升半導體元件之電性表現。應變工程之主要應力源主要包含,金氧半場效電晶體(MOSFET)結構其源/汲極(Source/Drain)晶格不匹配應力和淺溝槽隔離層(STI)內含殘留應力。利用此研究推導之解析模型估算上述諸項應力源對於元件通道所產生之應力分佈與力學響應,並以目前主流技術之結構尺寸與材料種類為參數予以探討。此外,藉有限元素模擬分析,驗證該解析模型的準確性。此解析解以半導體元件為推導架構,分別以一維通道方向,以及二維通道與厚度方向之力學理論為核心,推導MOSFET結構在應力源作用下之力學響應。
另一方面,本研究亦針對數個先進半導體元件,包含對於矽基、鍺基、三五族基等高載子遷移率之元件進行探討。藉由壓阻效應之應力-電阻率關係進一步量化通道之載子遷移率增益。同時,進一步地計算短通道CMOS之工作電流,以電性表現說明元件效能的實際增益。本研究所發展解析模型之應力與電性解析結果,能夠有效地幫助半導體元件進行更有效率的設計,期以做為半導體產業使用應變工程技術時之研究參考。
Due to the quickly development of semiconductor technology, the components whithin the innovative chip have been narrowed down to the nanometer scale in accordance with Mohr’s law. To meet the demand of operated enhancement, the mainstream of Metal-Oxide Semiconductor Field-Effect-Transistor (MOSFET) architectures at advanced nodal technology is to face huge challenges in terms of processes and performance. Consequently, both the high-k/metal gate structures combined with the use of strained engineering and novel materials having high carrier mobility are presented. In the stages of R&D and design, the consumption of technology capability and requirement of time cost investment are usually expected to manufacture and measure the electrical performances of concerned devices. Accordingly, it becomes the key of boosting up the R&D competitiveness of semiconductor devices if their related performances could be easily, quickly and accurately estimated.
For the above-mentioned reason, an analytical model based on mechanics is established in this study to calculate the stress distribution of the carrier transport channel. Through the mechanical strain induced by the strained components within MOSFET devices, the band structure of channel material is changed to promote their electrical performances. The foregoing stress resources considered in the proposed analytical model are composed of lattice-mismatch stress of source/drain (S/D) and intrinsic stressed shallow trench isolation (STI). The analytical model derived in this research is used to estimate the distribution and response of stress components of device channel. In addition, several parametric analyses for the structural dimnesion and material systems of the mainstream and candidate technologies are implemented. To demonstrate the accuracy of the presented model, the calculated results are compared with finite element simulation.
On the other hand, the rapid prognosis ability of the proposed model is performed on Si, Ge, and group III-V based of nanoscaled transistors under specifically designed stressors. The S/D dependence of performance variety for all considered device materials can be directly estimated by changing the designed S/D length substituted into the analytical model. Moreover, the variations in induced mobility gain are further quantified by using corresponding stress-piezoresistance relation and the electrical performances of devices are consequently interpreted. In conclusion, the analytic methodlogy with regard to stress-induced device performance prediction presented in this study is useful to speed up the design period of novel semiconductor devices. All the results shown in this research are expected to be the reference guideline as strained engineering technology is taken into account.
摘要 ---------------------------------------------------I
目錄 ---------------------------------------------------V
表目錄 ------------------------------------------------VIII
圖目錄 -------------------------------------------------XII
第一章 緒論 -------------------------------------------1
1.1 前言 -------------------------------------------1
1.2 研究動機 -------------------------------------------3
1.3 文獻回顧 -------------------------------------------4
1.2.1 提升半導體元件效能之技術 ---------------------------4
1.2.2 應變工程技術於半導體元件的應用 ------------------13
1.2.3 元件力學解析解模型之演進 --------------------------29
1.3 研究目標 ------------------------------------------31
第二章 基礎理論 ----------------------------------33
2.1 基本操作原理與電性效能估算 --------------------------33
2.2 載子遷移率與壓阻效應 --------------------------42
2.3 應變緩衝層與源汲極合金之晶格不匹配應變估算 ----------46
2.4 線彈性有限單元法理論 --------------------------49
第三章 奈米元件之力學解析解推導 --------------------------51
3.1 具應變工程之奈米元件模型與應變效應分析流程 ----------51
3.2 一維MOSFET結構之解析解推導與驗證 ------------------52
3.2.1 一維元件通道方向之解析解 --------------------------54
3.2.2 具不同矽鍺源/汲極濃度之矽基元件其通道應力驗證----------59
3.3 二維MOSFET結構之解析解推導與驗證 ------------------63
3.3.1 二維通道與厚度方向之解析解 --------------------------64
3.3.2 矽基元件通道應力之二維解析解與模擬分析驗證 ----------95
第四章 結果與討論 -----------------------------------------107
4.1 一維解析解對矽、鍺、三五族元件之增益估算結果 ---------107
4.1.1 P型半導體通道區域之應力與載子遷移率 -----------------108
4.1.2 N型半導體通道區域之應力與載子遷移率 -----------------115
4.2 一維解析解與文獻之通道應力值比較 -----------------121
4.3 一維解析解研究分析之結論 -------------------------125
4.4 二維解析解對矽、鍺元件之效能增益估算結果 ---------127
4.4.1 P型半導體通道區域之應力與載子遷移率 -----------------128
4.4.2 N型半導體通道區域之應力與載子遷移率 -----------------134
4.5 二維解析解研究分析之結論 -------------------------139
第五章 結論與未來展望 ---------------------------------141
附錄 -------------------------------------------------144
應變工程元件之電性分析與驗證 -----------------144
參考文獻 -------------------------------------------------163
1. J. Robertson, “High dielectric constant gate oxides for metal oxide Si transistors,” Reports on Progress in Physics, Vol. 69, No. 2, pp.327-396, 2006.
2. R. Geiger, T. Zabel, and H. Sigg, “Group IV direct band gap photonics: methods, challenges, and opportunities,” Frontiers in Materials, Vol.2, 2005.
3. L. Bin, “Advanced Silicon and Germanium Transistors for Future P-channel MOSFET Applications,” PhD Thesis, Singapore ,2013.
4. M. Chu, Y. Sun, U. Aghoram, and S. E. Thompson, “Strain: A solution for higher carrier mobility in nanoscale MOSFETs,” Annual Review of Materials Research, Vol. 39, pp. 203-229, 2009.
5. S. E. Thompson, M. Armstrong, C. Auth, M. Alavi, M. Buehler, R. Chau, S. Cea, T. Ghani, G. Glass, T. Hoffman, C. H. Jan, C. Kenyon, J. Klaus, K. Kuhn, Z. Ma, B. Mcintyre, K. Mistry, A. Murthy, B. Obradovic, R. Nagisetty, P. Nguyen, S. Sivakumar, R. Shaheed, L. Shifren, B. Tufts, S. Tyagi, M. Bohr, and Y. E. Mansy, “A 90-nm logic technology featuring strainedsilicon,” IEEE Transactions on Electron Devices, Vol. 51, No. 11, pp. 1790–1797, Nov. 2004.
6. V. Sverdlov, “Strain-induced effects in advanced MOSFETs,” Springer Science & Business Media, 2011.
7. J. Welser, J. L. Hoyt, and J. F. Gibbons, “Electron mobility enhancement in strained-Si N-type metal-oxide-semiconductor field-effect transistors,” IEEE Electron Device Letters, Vol. 15, pp. 100-102, 1994.
8. A. Lochtefeld, and D. A. Antoniadis, “Investigating the relationship between electron mobility and velocity in deeply scaled NMOS via mechanical stress,” IEEE Electron Device Letters, Vol. 22, pp. 591-593, 2001.
9. D. K. Nayak, and S. K. Chun, “Low-field hole mobility of strained-Si on (100) Si1-xGex substrate,” Applied Physics Letters, Vol. 64, pp. 2514-2516, 1994.
10. S. Takagi, J. L. Hoyt, J. J. Welser, and J. F. Gibbons, “Comparative study, of phononlimited mobility of two-dimensional electrons in strained and unstrained-Si metal–oxide–semiconductor field-effect transistors,” Journal of Applied Physics, Vol. 80, pp. 1567-1577, 1996.
11. M. L. Lee, and E. A. Fitzgerald, “Hole mobility enhancements in nanometer-scale strained-silicon heterostructures grown on Ge-rich relaxed Si1-xGex,” Journal of Applied Physics, Vol. 94, pp. 2590-2596, 2003.
12. K. Rim, J. Chu, H. Chen, K.A. Jenkins, T. Kanarsky, K. Lee, A. Mocuta, H. Zhu, R. Roy, J. Newbury, J. Ott. K. Petrarca, P. Mooney, D. Lacey, S. Koester, K. Chan. D. Boyd, M. Ieong, and H. S. Wong, “Characteristics and device design of sub-100-nm strained-Si N- and PMOSFETs,” 2002 Symposium on VLSI Technology, pp. 98–99, 2002.
13. G. Eneman, E. Simoen, P. Verheyen, and K. De Meyer, “Gate influenceon the layout sensitivity of Si1−xGex S/D and Si1−yCy S/D transistorsincluding an analytical model,” IEEE Transactions on Electron Devices, Vol. 55, No. 10, pp. 2703-2711, Oct. 2008.
14. D. Zhang, B.Y. Nguyen, T. White, B. Goolsby, T. Nguyen, V. Dhandapani, J. Hildreth, M. Foisy, V. Adams, Y. Shiho, A. Thean, D. Theodore, M. Canonico, S. Zollner, S. Bagchi, S. Murphy, R. Rai, J. Jiang, M. Jahanbani, R. Noble, M. Zavala, R. Cotton, D. Eades, S. Parsons, P. Montgomery, A. Martinez, B. Winstead, M. Mendicino, J. Cheek, J. Liu, P. Grudowski, N. Ranami, P. Tomasini, C. Arena, C. Werkhoven, H. Kirby, C.H. Chang, C.T. Lin, H.C. Tuan, Y.C. See, S. Venkatesan, V. Kolagunta, N. Cave, J. Mogab, “Embedded SiGe S/ D PMOS on Thin Body SOI Substrate with Drive Current Enhancement,” IEEE 2005 Symposium on VLSI Technology, pp. 26-27, 2005.
15. W. S. Liao, Y. G. Liaw, M. C. Tang, K. M. Chen, S. Y. Huang, C. Y. Peng, and C. W. Liu, “PMOS hole mobility enhancement through SiGe conductive channel and highly compressive ILD SiNx stressing layer,” IEEE Electron Device Letters, Vol. 29, No. 1, pp. 86-88, 2008.
16. Kah-Wee Ang, King-Jien Chui, Vladimir Bliznetsov, Yihua Wang , Lai-Yin Wong, Chih-Hang Tung, N. Balasubramanian, Ming-Fu Li, Ganesh Samudra, and Yee-Chia Yeo, “Thin body silicon on insulator N MOSFET with silicon carbon source/drain regions for performance enhancement,” IEEE International Electron Devices Meeting, pp. 497-500, 2005.
17. T. Ohshima, K. K. Lee, Y. Ishida1, K. Kojima1, Y. Tanaka1, T. Takahashi1, M. Yoshikawa, H. Okumura1, K. Arai1, and T. Kamiya, “The electrical characteristics of metal oxide semiconductor field effect transistors fabricated on cub ic silicon carbide,” Journal of Applied Physics, Vol. 42, No. 6B, pp. L625-L627, 2003.
18. S. Ike, Y. Moriyama, M. Kurosawa, N. Taoka, O. Nakatsuka, Y. Imai, S. Kimura, T. Tezuka, S. Zaima, “Formation and characterization of locally strained Ge1-xSnx/Ge microstructures,” Thin Solid Films, Vol. 557, pp. 164-168, 2014.
19. B. Vincent, Y. Shimura, S. Takeuchi, T. Nishimura, G. Eneman, A. Firrincieli, J. Demeulemeester, A. Vantomme, T. Clarysse, O. Nakatsuka, S. Zaima, J. Dekoster, M. Caymax, R. Looa, “Characterization of GeSn materials for future Ge pMOSFETs source/drain stressors,” Microelectronic Engineering, Vol. 88, No. 4, pp. 342-346, 2011.
20. 王木俊;王哲麒;何青松;李敏鴻;阮弼群;林世杰;林成利;林薏菁;翁士元;張書通;陳冠能;陳裕華;陳鴻文;曾靖揮;楊健國;葉文冠;鄒議漢;廖忠賢;劉傳璽;鄭晃忠;鄭裕庭;賴朝松, “新世代積體電路製程技術,” 東華書局, 2011.
21. D. Zhang, B.Y. Nguyen, T. White, B. Goolsby, T. Nguyen, V. Dhandapani, J. Hildreth, M. Foisy, V. Adams, Y. Shiho, A. Thean, D. Theodore, M. Canonico, S. Zollner, S. Bagchi, S. Murphy, R. Rai, J. Jiang, M. Jahanbani, R. Noble, M. Zavala, R. Cotton, D. Eades, S. Parsons, P. Montgomery, A. Martinez, B. Winstead, M. Mendicino, J. Cheek, J. Liu, P. Grudowski, N. Ranami, P. Tomasini, C. Arena, C. Werkhoven, H. Kirby, C.H. Chang, C.T. Lin, H.C. Tuan, Y.C. See, S. Venkatesan, V. Kolagunta, N. Cave, J. Mogab, “Embedded SiGe S/D PMOS on thin body SOI substrate with drive current enhancement,” 2005 IEEE Symposium on VLSI Technology, 2005.
22. M. T. Currie, C. W. Leitz, T. A. Langdo, G. Taraschi, and E. A. Fitzgerald, “Carrier mobilities and process stability of strained Si n-and p-MOSFETs on SiGe virtual substrates,” Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena, Vol. 19, No.6, pp.2268-2279, 2001.
23. Y. Liu, O. Gluschenkov, J. Li, A. Madan, A. Ozcan, B. Kim, T. Dyer, A. Chakravarti, K. Chan, C. Lavoie, I. Popova, T. Pinto, N. Rovedo, Z. Luo, R. Loesing, W. Henson, and . Rim, “Strained Si channel MOSFETs with embedded silicon carbon formed by solid phase epitaxy,” 2007 IEEE Symposium on VLSI Technology, pp. 44-45, 2007.
24. B. Vincent, F. Gencarelli, H. Bender, C. Merckling, B. Douhard, D. H. Petersen, O. Hansen, H. H. Henrichsen, J. Meersschaut, W. Vandervorst, M. Heyns, R. Loo, and M. Caymax, “Undoped and in-situ B doped GeSn epitaxial growth on Ge by atmospheric pressure chemical vapor deposition,” Applied Physics Letters, Vol. 99, No. 15, pp. 152-103, 2011.
25. B. Vincent, Y. Shimura, S. Takeuchi, T. Nishimura, G. Eneman, A. Firrincieli, J. Demeulemeester, A. Vantomme, T. Clarysse, O. Nakatsuka, S. Zaima, J. Dekoster, M. Caymax, R. Loo, “Characterization of GeSn materials for future Ge pMOSFETs source/drain stressors,” Microelectronic Engineering, Vol. 88, No. 4, pp. 342-346, 2011.
26. C. C. Lee, and P. C. Huang, “Layout Study of Strained Ge-Based PMOSFETs Integrated with S/D GeSn Alloy and CESL by Using Process-Oriented Stress Simulations,” IEEE Transactions on Electron Devices, Vol. 65, No. 11, pp. 4975-4981, Nov. 2018.
27. H. C. Chin, X. Gong, X. Liu, Y. C. Yeo, “Lattice-mismatched In0. 4Ga0. 6As Source/Drain stressors with In Situ doping for strained In0. 53 Ga0. 47 as channel n-MOSFETs,” IEEE Electron Device Letters, Vol. 8, 2009.
28. F. Cacho, S. Orain, G. Cailletaud, H. Jaouen, “A constitutive single crystal model for the silicon mechanical behavior: Applications to the stress induced by silicided lines and STI in MOS technologies,” Microelectronics Reliability, Vol. 47, No. 3, pp. 161-167, 2007.
29. H. Tsuno, K. Anzai, M. Matsumura, S. Minami, A. Honjo, H. Koike, Y. Hiura, A. Takeo, W. Fu, Y. Fukuzaki, M. Kanno, H. Ansai and N. Nagashima, “Advanced analysis and modeling of MOSFET characteristic fluctuation caused by layout variation,” 2007 IEEE Symposium on VLSI Technology, 2007.
30. C. Gallon, G. Reimbold, Gérard Ghibaudo, R. A. Bianchi, R. Gwoziecki, S. Orain, E. Robilliart, C. Raynaud, and H. Dansas, “Electrical analysis of mechanical stress induced by STI in short MOSFETs using externally applied stress,” IEEE Transactions on Electron Devices, Vol. 51, No. 8, pp. 1254-1261, 2004.
31. J. Innocenti, C. Rivero ; F. Julien ; J. M. Portal ; Q. Hubert ; G. Bouton ; P. Fornar, L. Lopez, P. Masson, S. Niel, A. Regmer, “NMOS drive current enhancement by reducing mechanical stress induced by Shallow Trench Isolation,” 2015 IEEE International Conference on Electron Devices and Solid-State Circuits, 2015
32. R. Li, L. Yu, H. Xin, Y. Dong, K. Tao, and C. Wang, “A comprehensive study of reducing the STI mechanical stress effect on channel-width-dependent Idsat,” Semiconductor Science and Technology, Vol. 22, No.12, pp. 1292-1297, 2007.
33. M. Belyanskya, N. Klymko, R. Conti, and D. Chidambarrao, “Study of silicon strain in shallow trench isolation,” Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 28, No. 4, pp. 829-833, 2010.
34. Y. M. Sheu, S. J. Yang, C. C. Wang, C. S. Chang, L. P. Huang, T. Y. Huang, M. J. Chen, and C. H. Diaz, “Modeling mechanical stress effect on dopant diffusion in scaled MOSFETs,” IEEE Transactions on Electron Devices, Vol. 52, No. 1, pp. 30-38, 2004.
35. M. Miyamoto, H. Ohta, Y. Kumagai, Y. Sonobe, K. Ishibashi, and Y. Tainaka, “Impact of reducing STI-induced stress on layout dependence of MOSFET characteristics,” IEEE Transactions on Electron Devices, Vol. 51, No.3, pp.440-443, 2004.
36. C. C. Lee, C. H. Liu, R. H. Deng, H. W. Hsu, K. N. Chiang, “Investigation of consequent process induced stress for N type metal oxide semiconductor field effect transistor with a sunken shallow trench isolation pattern,” Thin Solid Films, Vol. 557, pp. 323-328, Apr. 2014.
37. K. T. Lee, C. Y. Kang, O. S. Yoo, C. D. Young, G. B., H. K. Park, J. M. Lee, H. S. Hwang, B. H. Lee, H. D. Lee1, and Y. H. Jeong, “A comparative study of reliability and performance of strain engineering using CESL stressor and mechanical strain,” 2008 IEEE International Reliability Physics Symposium, pp. 306-309, 2008.
38. G. Eneman, P. Verheyen, A. D. Keersgieter, M. Jurczak, and K. D. Meyer, “Scalability of stress induced by contact-etch-stop layers: A simulation study,” IEEE Transactions on Electron Devices, Vol. 54, No. 6, pp. 1446-1453, 2007.
39. K. N. Chiang, C. H. Chang, and C. T. Chang, “Local strain effects in Si/SiGe/Si islands on oxide,” Applied Physics Letters, Vol. 87, No. 19, pp. Nov. 2005.
40. Y. Liu, O. Gluschenkov, J. Li, A. Madan, A. Ozcan, B. Kim, T. Dyer, A. Chakravarti, K. Chan, C. Lavoie, I. Popova, T. Pinto, N. Rovedo, Z. Luo, R. Loesing, W. Henson, and K. Rim, “Strained Si Channel MOSFETs with Embedded Silicon Carbon Formed by Solid Phase Epitaxy,” 2007 IEEE Symposium on VLSI Technology, 2007
41. A. Shimizu, K. Hachimine, N. Ohki, H. Ohta, M. Koguchi, Y. Nonaka, H. Sato, and F. Ootsuka, “Local mechanical-stress control (LMC): A new technique for CMOS-performance enhancement,” 2001 IEEE International Electron Devices Meeting, IEEE, 2001.
42. G. Eneman, E. Simoen, P. Verheyen, and K. De Meyer, “Gate influenceon the layout sensitivity of Si1−xGex S/D and Si1−yCy S/D transistorsincluding an analytical model,” IEEE Transactions on Electron Devices, Vol. 55, No. 10, pp. 2703–2711, Oct. 2008.
43. K. Rim, J. Welser, J. L. Hoyt, and J. F. Gibbons, “Enhanced hole mobilities in surface-channel strained-Si p-MOSFETs,” 1995 IEEE International Electron Devices Meeting, IEEE, 1995.
44. Q. Liu, M. Vinet, J. Gimbert, N. Loubet, R. Wacquez, L. Grenouillet, Y. Le Tiec, A. Khakifirooz, T. Nagumo, K. Cheng, H. Kothari, D. Chanemougame, F. Chafik, S. Guillaumet, J. Kuss, F. Allibert, G. Tsutsui, J. Li, P. Morin, S. Mehta, R. Johnson, L.F. Edge, S. Ponoth, T. Levin, S. Kanakasabapathy, B. Haran, H. Bu, J. L. Bataillon, O. Weber, O. Faynot, E. Josse, M. Haond, W. Kleemeier, M. Khare, T. Skotnicki, S. Luning, B. Doris, M. Celik, R. Sampson, “High performance UTBB FDSOI devices featuring 20nm gate length for 14nm node and beyond,” 2013 IEEE International Electron Devices Meeting. IEEE, 2013.
45. J. Jacob, “Power electronics: Principles and applications,” Cengage Learning, 2001.
46. T. Ytterdal, Y. Cheng, and T. A. Fjeldly, "MOSFET device physics and operation," Device Modeling for Analog and RF CMOS Circuit Design, 2003.
47. S. M. Kang, and Y. Leblebici, “CMOS digital integrated circuits,” Tata McGraw-Hill Education, 2015.
48. M. T. Currie, C. W. Leitz, T. A. Langdo, G. Taraschi, and E. A. Fitzgerald, "Carrier mobilities and process stability of strained Si n-and p-MOSFETs on SiGe virtual substrates." Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena, Vol. 16, No. 6, pp.2268-2279, 2001.
49. J. Gaspar, J. Gutmann, B. Lemke, and O. Paul, “Nonlinear piezoresistance of silicon at large stresses,” 2011 IEEE 24th International Conference on Micro Electro Mechanical Systems, 2011.
50. H. H. Gatzen, V. Saile, and J. Leuthold, “Micro and Nano Fabrication,” Springer-Verlag Berlin Heidelberg, 2016.
51. E. Kasper, A. Schuh, G. Bauer, B. Hollander, H. Kibbel, “Test of Vegard's law in thin epitaxial SiGe layers,” Journal of Crystal Growth, Vol. 157, No. 14, pp. 68-72, Dec. 1995.
52. Z. Charifi, N. Bouarissa, “The effect of the violation of Vegard’s law on the optical bowing in Si1-xGex alloys,” Journal of Crystal Growth, Vol. 234, No. 6, pp. 493-497, Oct. 1997.
53. O. C. Zienkiewicz, and R. L. Taylor, “The finite element method: solid mechanics,” Butterworth-heinemann, Vol. 2, 2000.
54. Öchsner, Andreas. Computational statics and dynamics. Singapore: Springer, 2016.
55. T. Y. Han, G. L. Luo, C. C. Cheng, C. H. Ko, C. H. Wann, C. C. Kei, C. N. Hsiao, and C. H. Chien, “Experimental Demonstration of (111)-Oriented GaAs Metal–Oxide–Semiconductor Field-Effect-Transistors with Hetero-Epitaxial Ge Source/Drain,” ECS Journal of Solid State Science and Technology, Vol. 3, No.4, pp. 86-90, 2014.
56. C. C. Lee, P. C. Huang, and Y. C. Lin, “Analytical Model Developed for Precise Stress Estimation of Device Channel within Advanced Planar MOSFET Architectures,” IEEE Transactions on Electron Devices, Vol. 67, No. 4, pp. 1498-1505, Apr. 2020.
57. J. A. Del Alamo, “Nanometre-scale electronics with III–V compound semiconductors,” Nature, Vol. 479, No. 7373, pp. 317–323, Nov. 2011.
58. S. Thompson, G. Sun, Y. Sung Choi, and T. Nishida, “Uniaxialprocess-induced strained-Si: Extending the CMOS roadmap,” IEEE Transactions on Electron Devices, Vol. 53, No. 5, pp. 1010–1020, May 2006.
59. S. Suthram et al., “Strain additivity in III-V channels for CMOSFETs beyond 22nm technology node,” 2008 IEEE Symposium on VLSI Technology, Jun. 2008, pp. 182–183.
60. A. Nainani et al., “Study of piezoresistance under unixial stress for technologically relevant III-V semiconductors using wafer bending experiments,” Applied Physics Letters, vol. 96, no. 24, Jun. 2010
61. M. Kobayashi, T. Irisawa, B. Magyari-Kope, K. Saraswat, H. S. P. Wong, and Y. Nishi, “Uniaxial stress engineering for high performance Ge NMOSFETs,” IEEE Transactions on Electron Devices, Vol. 57, No. 5, pp. 1037–1046, May 2010.
62. K. Tapily, J. E. Jakes, D. S. Stone, P. Shrestha, D. Gu, H. Baumgart, and A. A. Elmustafa, “Nanoindentation investigation of HfO2 and Al2O3 films grown by atomic layer deposition,” Jornal of The Electrochemical Society, Vol. 155, No. 7, pp.545-551, 2008.
63. B. H. Lee, V. R. Anderson, and S. M. George, “Growth and properties of hafnicone and HfO2/Hafnicone nanolaminate and alloy films using molecular layer deposition techniques,” ACS Applied Material Interfaces, Vol. 6, pp. 16880-16887, 2014.
64. C. C. Lee, K. S. Kao, Leon Lin, J. Y. Chang, F. J. Leu, Y. L. Lu, and T. C. Chang, “Investigation of Pre-Bending Substrate Design in Packaging Assembly of an IGBT Power Module,” Microelectronic Engineering, Vol. 120, pp. 106-113, May 2014.
65. K. W. Ang, K. J. Chui, A. Madan, L. Y. Wong, C. H. Tung, N. Balasubramanian, M. F. Li, G. S. Samudra, and Y. C. Yeo, “Strained thin-body p-MOSFET with condensed silicon-germanium source/drain for enhanced drive current performance,” IEEE Electron Device Letters, Vol. 28, Issue. 6, pp. 509-512, June 2007.
66. J. L. Hoyt, H. M. Nayfeh, S. Eguchi, I. Aberg, G. Xia, T. Drake, E.A. Fitzgerald, D. A. Antoniadis, “Strained silicon MOSFET technology.” 2002 IEEE International Electron Devices Meeting, Dec. 2002.
67. S. E. Thompson, M. Armstrong, C. Auth, M. Alavi, M. Buehler, R. Chau, S. Cea, T. Ghani, G. Glass, T. Hoffman, C. H. Jan, C. Kenyon, J. Klaus, K. Kuhn, Z. Ma, B. Mcintyre, K. Mistry, A. Murthy, B. Obradovic, R. Nagisetty, P. Nguyen, S. Sivakumar, R. Shaheed, L. Shifren, B. Tufts, S. Tyagi, M. Bohr, and Y. E. Mansy, “A 90-nm logic technology featuring strainedsilicon,” IEEE Transactions on Electron Devices, Vol. 51, No. 11, pp. 1790–1797, Nov. 2004.
68. V. K. Arora, D. C. Y. Chek, and M. L. P. Tan, “The role of ballistic mobility and saturation velocity in performance evaluation of a nano-CMOS circuit,” IEEE 2009 International Conference on Emerging Trends in Electronic and Photonic Devices & Systems, 2009.
69. H. Y. Chen, C. Y. Chang, C. C. Huang, T. X. Chung, S. D. Liu, J. R Hwang, Y. H. Liu, Y. J. Chou, H. J. Wu, K. C. Shu, C. K. Huang, J. W You, J. J. Shin, C. K. Chen, C. H. Lin, J. W. Hsu, B. C. Perng, P. Y. Tsai, C. C. Chen, J.H. Shieh, H. J. Tao, S.C. Chen, T. S. Gau, and F. L. Yang, “Novel 20nm hybrid SOI/bulk CMOS technology with 0.183〖μm〗^2 6T-SRAM cell by immersion lithography,” 2008 IEEE Symposium on VLSI Technology, 2005.
70. M. T. Currie, C. W. Leitz, T. A. Langdo, G. Taraschi, and E. A. Fitzgerald, “Carrier mobilities and process stability of strained Si n-and p-MOSFETs on SiGe virtual substrates.” Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena, Vol. 19, pp.2268-2279, 2001.
 
 
 
 
第一頁 上一頁 下一頁 最後一頁 top
* *