|
Ch1 Reference [1.1] International Roadmap for Devices and Systems (IRDS™) 2022 Edition. [Online]. Available: https://irds.ieee.org/roadmap-2022 [1.2] http://www.intel.com [1.3] http://www.imec-int.com [1.4] J. P.Colinge, FinFETs and Other Multi-Gate Transistors: Springer, 2008. [1.5] S. Natarajan, M. Agostinelli, S. Akbar, M. Bost, A. Bowonder, V. Chikarmane, S. Chouksey, A. Dasgupta, K. Fischer, Q. Fu, T. Ghani, M. Giles, S. Govindaraju, R. Grover, W. Han, D. Hanken, E. Haralson, M. Haran, M. Heckscher, R. Heussner, P. Jain, R. James, R. Jhaveri, I. Jin, H. Kam, E. Karl, C. Kenyon, M. Liu, Y. Luo, R. Mehandru, S. Morarka, L. Neiberg, P. Packan, A. Paliwal, C. Parker, P. Patel, R. Patel, C. Pelto, L. Pipes, P. Plekhanov, M. Prince, S. Rajamani, J. Sandford, B. Sell, S. Sivakumar, P. Smith, B. Song, K. Tone, T. Troeger, J. Wiedemer, M. Yang, and K. Zhang, " A 14nm logic technology featuring 2nd-generation FinFET, air-gapped interconnects, self-aligned double patterning and a 0.0588 µm2SRAM cell size," in 2014 IEEE International Electron Devices Meeting, 2014, pp. 3.7.1-3.7.3. doi:10.1109/IEDM.2014.7046976. [1.6] Geoffrey Yeap, S.S. Lin, Y.M. Chen, H.L. Shang, P.W. Wang, H.C. Lin, Y.C. Peng, J.Y. Sheu, M. Wang, X. Chen, B.R. Yang, C.P. Lin, F.C. Yang, Y.K. Leung, D.W. Lin, C.P. Chen, K.F. Yu, D.H. Chen, C.Y. Chang, H.K. Chen, P. Hung, C.S. Hou, Y.K. Cheng, J. Chang, L. Yuan, C.K. Lin, C.C. Chen, Y.C. Yeo, M.H. Tsai, H.T. Lin, C.O. Chui, K.B. Huang, W. Chang, H.J. Lin, K.W. Chen, R. Chen, S.H. Sun, Q. Fu, H.T. Yang, H.T. Chiang, C.C. Yeh, T.L. Lee, C.H. Wang, S.L. Shue, C.W. Wu, R. Lu, W.R. Lin, J. Wu, F. Lai, Y.H. Wu, B.Z. Tien, Y.C. Huang, L.C. Lu, Jun He, Y. Ku, J. Lin, M. Cao, T.S. Chang, S.M. Jang, "5nm CMOS Production Technology Platform featuring full-fledged EUV, and High Mobility Channel FinFETs with densest 0.021µm2 SRAM cells for Mobile SoC and High Performance Computing Applications," 2019 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2019, pp. 36.7.1-36.7.4, doi: 10.1109/IEDM19573.2019.8993577. [1.7] N. Loubet, T. Hook, P. Montanini, C. W. Yeung, S. Kanakasabapathy, M. Guillom, et al., "Stacked nanosheet gate-all-around transistor to enable scaling beyond FinFET," in 2017 Symposium on VLSI Technology, 2017, pp. T230-T231. [1.8] N. Loubet, T. Hook, P. Montanini, C. Yeung, S. Kanakasabapathy, M. Guillom, T. Yamashita, J. Zhang, X. Miao, J. Wang, A. Young, R. Chao, M. Kang, Z. Liu, S. Fan, B. Hamieh, S. Sieg, Y. Mignot, W. Xu, S. Seo, J. Yoo, S. Mochizuki, M. Sankarapandian, O. Kwon, A. Carr, A. Greene, Y. Park, J. Frougier, R. Galatage, R. Bao, J. Shearer, R. Conti, H. Song, D. Lee, D. Kong, Y. Xu, A. Arceo, Z. Bi, P. Xu, R. Muthinti, J. Li, R. Wong, D. Brown, P. Oldiges, R. Robison, J. Arnold, N. Felix, S. Skordas, J. Gaudiello, T. Standaert, H. Jagannathan, D. Corliss, M. Na, A. Knorr, T. Wu, D. Gupta, S. Lian, R. Divakaruni, T. Gow, C. Labelle, S. Lee, V. Paruchuri, H. Bu, and M. Khare, "Stacked nanosheet gate-all-around transistor to enable scaling beyond FinFET," in 2017 Symposium on VLSI Technology, 2017, pp. T230-T231. doi:10.23919/VLSIT.2017.7998183. [1.9] Geumjong Bae, D.-I. Bae, M.Kang, S.M.Hwang, S.S.Kim, B.Seo, T.Y.Kwon, T.J.Lee, C.Moon, Y.M.Choi, K.Oikawa, S.Masuoka, K.Y.Chun, S.H.Park, H.J.Shin, J.C.Kim, K.K.Bhuwalka, D.H.Kim, W.J. Kim, J.Yoo, H.Y.Jeon, M.S.Yang, S.-J.Chung, D.Kim, B.H.Ham, K.J.Park, W.D.Kim, S.H.Park, G.Song, Y.H.Kim, M.S.Kang, K.H.Hwang, C.-H.Park, J.-H.Lee, D.-W. Kim, S-M.Jung, H.K.Kang, "3nm GAA Technology featuring Multi-Bridge-Channel FET for Low Power and High Performance Applications," 2018 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2018, pp. 28.7.1-28.7.4, doi: 10.1109/IEDM.2018.8614629. [1.10] W. Zhang, J. G. Fossum, L. Mathew,” A Hybrid FinFET/SOI MOSFET.” Proceedings IEEE International SOI Conference, 151 (2005) [1.11] L. Mathew, M. Sadd, S. Kalpat, M. Zavala, T. Stephens, R. Mora, S. Bagchi,C. Parker, J. Vasek, D. Sing, R. Shimer, L. Prabhu, G.O. Workman, G.Ablen, Z. Shi, J. Saenz, B. Min, D. Burnett, B.-Y. Nguyen, J. Mogab, M.M.Chowdhury, W. Zhang, J.G. Fossum: Inverted T channel FET (ITFET) –Fabrication and Characteristics of Vertical-Horizontal, Thin-Body , Multi-Gate , Multi-Orientation Devices, ITFET SRAM Bit-cell operation. A NovelTechnology for 45nm and Beyond CMOS. Technical Digest of IEDM, 713(2005) [1.12] C. -Y. Huang, G. Dewey, E. Mannebach, A. Phan, P. Morrow, W. Rachmady, I. -C. Tung, N. Thomas, U. Alaan, R. Paul, N. Kabir, B. Krist, A. Oni, M. Mehta, M. Harper, P. Nguyen, R. Keech, S. Vishwanath, K. L. Cheong, J. S. Kang, A. Lilak, M. Metz, S. Clendenning, B. Turkot, R. Schenker1, H. J. Yoo, M. Radosavljevic, and J. Kavalieros, "3-D Self-aligned Stacked NMOS-on-PMOS Nanoribbon Transistors for Continued Moore’s Law Scaling," 2020 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2020, pp. 20.6.1-20.6.4, doi: 10.1109/IEDM13553.2020.9372066. [1.13] RPillarisetty, "Academic and industry research progress in germanium nanodevices," Nature, vol. 479, p. 324, 11/16/online 2011. [1.14] C. H. Lee, S. Mochizuki, R. G. Southwick III, J. Li, X. Miao, R. Bao, T. Ando, R. Galatage, S. Siddiqui, C. Labelle, A. Knorr, J. H. Stathis, D. Guo, V. Narayanan, B. Haran, and H. Jagannathan, "A comparative study of strain and Ge content in Si1−xGex channel using planar FETs, FinFETs, and strained relaxed buffer layer FinFETs," 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2017, pp. 37.2.1-37.2.4, doi: 10.1109/IEDM.2017.8268509. [1.15] J.-P. Colinge, C.-W. Lee, A. Afzalian, N. D. Akhavan, R. Yan, I. Ferain, et al., "Nanowire transistors without junctions," Nature Nanotechnology, vol. 5, p. 225, 02/21/online 2010. [1.16] C.-W. Lee, A. Afzalian, N. D. Akhavan, R. Yan, I. Ferain, and J.-P. Colinge, "Junctionless multigate field-effect transistor," Applied Physics Letters, vol. 94, p. 053511, 2009/02/02 2009. [1.17] E. Gnani, A. Gnudi, S. Reggiani, and G. Baccarani, "Theory of the junctionless nanowire FET," IEEE Transactions on Electron Devices, vol. 58, pp. 2903-2910, 2011. [1.18] C. W. L. J. P. Colinge, N. Dehdashti Akhavan, R. Yan, I. Ferain, P. Razavi, A. Kranti, and R. Yu, "Junctionless Transistors: Physics and Properties," in Semiconductor-On-Insulator Materials for Nanoelectronics Applications, F. B. Alexei Nazarov, Francisco Gamiz, J.-P. Colinge, Jean-Pierre Raskin, V.S. Lysenko, Ed., ed: SPRINGER, 2011.
Ch2 Reference [2.1] Y. Hang and H. Kabban, "Thermal management in mobile devices: challenges and solutions, in 31st Thermal Measurement, Modeling & Management Symposium (SEMI-THERM), 2015 [2.2] L. B. Kish, "End of Moore's law: thermal (noise) death of integration in micro and nano electronics," Physics Letters A, vol. 305, no. 3-4, pp. 144-149, 2002. [2.3] K. Jang, T. Saraya, M. Kobayashi, and T. Hiramoto, "Ion /Ioff ratio enhancement and scalability of gate-all-around nanowire negative-capacitance FET with ferroelectric HfO2," Solid State Electron, vol. 136, pp. 60-67, 2017. [2.4] Y. Taur and T. H. Ning, Fundamentals of Modern VLSI Devices (Cambridge University Press, Cambridge, U.K., 2009) 2nd ed., p. 165. [2.5] M. Kobayashi, "A perspective on steep-subthreshold-slope negative-capacitance field-effect transistor," Applied Physics Express, vol. 11, no. 11, p. 110101, 2018. [2.6] H. Fuketa, T. Yasufuku, S. Iida, M. Takamiya, M. Nomura, H. Shinohara, and T. Sakurai, "Device-circuit interactions in extremely low voltage CMOS designs (invited)," 2011 International Electron Devices Meeting, Washington, DC, USA, 2011, pp. 25.1.1-25.1.4, doi: 10.1109/IEDM.2011.6131609. [2.7] A. M. Ionescu, "Energy efficient computing and sensing in the Zettabyte era: From silicon to the cloud," 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2017, pp. 1.2.1-1.2.8, doi: 10.1109/IEDM.2017.8268307. [2.8] J. Y. -C. Sun, "System scaling for intelligent ubiquitous computing," 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2017, pp. 1.3.1-1.3.7, doi: 10.1109/IEDM.2017.8268308. [2.9] IRDS 2017 Edition Reports [https://irds.ieee.org/roadmap-2017]. [2.10] S. Salahuddin and S. Datta, "Use of Negative Capacitance to Provide Voltage Amplification for Low Power Nanoscale Devices," Nano Letters, vol. 8, pp. 405-410, 2008. doi:10.1021/nl071804g. [2.11] S. Salahuddin and S. Datta, "Can the subthreshold swing in a classical FET be lowered below 60 mV/decade?" 2008 IEEE International Electron Devices Meeting, San Francisco, CA, USA, 2008, pp. 1-4, doi: 10.1109/IEDM.2008.4796789. [2.12] Muhammad A. Alam, “ A Tutorial Introduction to Negative Capacitor Field Effect Transistors,” 2015/10/03, https://nanohub.org/resources/23157/about. [2.13] Michael Hoffmann, Stefan Slesazeck, Thomas Mikolajick, “Progress and future prospects of negative capacitance electronics: A materials perspective.” APL Mater 1 February 2021; 9 (2): 020902. https://doi.org/10.1063/5.0032954. [2.14] M. E. Lines and A. M. Glass, Principles and Applications of Ferroelectrics and Related Materials (Oxford Univrsity Press, 1977). [2.15] J. Müller, T. S. Böscke, U. Schröder, S. Mueller, D. Bräuhaus, U. Böttger, L. Frey, and T. Mikolajick, “Ferroelectricity in Simple Binary ZrO2 and HfO2,” Nano Lett. 12, 4318 (2012). [2.16] L. Xu, S. Shibayama, K. Izukashi, T. Nishimura, T. Yajima, S. Migita, and A. Toriumi, "General relationship for cation and anion doping effects on ferroelectric HfO2 formation," 2016 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2016, pp. 25.2.1-25.2.4, doi: 10.1109/IEDM.2016.7838477. [2.17] R. Landauer, “Can capacitance be negative?,” Collect. Phenom. 2, 167–170 (1976). [2.18] J. P. Duarte, S. Khandelwal, A. I. Khan, A. Sachid, Y.-K. Lin, H.-L. Chang et al., "Compact models of negative-capacitance FinFETs: Lumped and distributed charge models, in IEEE International Electron Devices Meeting (IEDM), 2016 [2.19] A. I. Khan, Negative capacitance for ultra-low power computing. University of California, Berkeley, 2015. [2.20] T. Mikolajick, et al., “Basics and Device Applications of Ferroelectricity in Hafnium Oxides,” namlab. [2.21] Asif Islam Khan, Korok Chatterjee, BrianWang, Steven Drapcho, Long You, Claudy Serrao, Saidur Rahman Bakaul1, Ramamoorthy Ramesh, and Sayeef Salahuddin, “Negative capacitance in a ferroelectric capacitor.” Nature Mater 14, 182–186 (2015). https://doi.org/10.1038/nmat4148. [2.22] Michael Hoffmann, Franz P. G. Fengler, Melanie Herzig, Terence Mittmann, Benjamin Max, Uwe Schroeder, Raluca Negrea, Pintilie Lucian, Stefan Slesazeck, and Thomas Mikolajick, “Unveiling the double-well energy landscape in a ferroelectric layer.” Nature 565, 464–467 (2019). https://doi.org/10.1038/s41586-018-0854-z [2.23] M. H. Lee, P.-G. Chen, C. Liu, K.-Y. Chu, C.-C. Cheng, M.-J. Xie, S.-N. Liu, J.-W. Lee, S.-J. Huang, M.-H. Liao, M. Tang, K.-S. Li, and M.-C. Chen, "Prospects for ferroelectric HfZrOx FETs with experimentally CET=0.98nm, SSfor=42mV/dec, SSrev=28mV/dec, switch-off <0.2V, and hysteresis-free strategies," IEEE International Electron Devices Meeting (IEDM), Washington, DC, USA, 2015, pp. 22.5.1-22.5.4, doi: 10.1109/IEDM.2015.7409759. [2.24] M. H. Lee, S.-T. Fan, C.-H. Tang, P.-G. Chen, Y.-C. Chou, H.-H. Chen, J.-Y. Kuo, M.-J. Xie, S.-N. Liu, M.-H. Liao, C.-A. Jong, K.-S. Li, M.-C. Chen, and C. W. Liu, " IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2016, pp. 12.1.1-12.1.4, doi: 10.1109/IEDM.2016.7838400. [2.25] W. Chung, M. Si and P. D. Ye, "Hysteresis-free negative capacitance germanium CMOS FinFETs with Bi-directional Sub-60 mV/dec," IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2017, pp. 15.3.1-15.3.4, doi: 10.1109/IEDM.2017.8268395. [2.26] W. Chung, M. Si and P. D. Ye, "Hysteresis-free negative capacitance germanium CMOS FinFETs with Bi-directional Sub-60 mV/dec," 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2017, pp. 15.3.1-15.3.4, doi: 10.1109/IEDM.2017.8268395. [2.27] Z. Krivokapic, U. Rana, R. Galatage, A. Razavieh, A. Aziz, J. Liu, J. Shi, H. J. Kim, R. Sporer, C. Serrao, A. Busquet, P. Polakowski, J. Müller, W. Kleemeier, A. Jacob, D. Brown, A. Knorr, R. Carter, and S. Banna, "14nm Ferroelectric FinFET technology with steep subthreshold slope for ultra low power applications," IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2017, pp. 15.1.1-15.1.4, doi: 10.1109/IEDM.2017.8268393. [2.28] Weiwei Gao, Asif Khan, Xavi Marti, Chris Nelson, Claudy Serrao, Jayakanth Ravichandran, Ramamoorthy Ramesh, and Sayeef Salahuddin, "Room-temperature negative capacitance in a ferroelectric-dielectric superlattice heterostructure," Nano Lett, vol. 14, no. 10, pp. 5814-9, Oct 8 2014. [2.29] Z. Liu, M. A. Bhuiyan, and T. P. Ma, "A Critical Examination of ‘Quasi-Static Negative Capacitance’ (QSNC) theory," in 2018 IEEE International Electron Devices Meeting (IEDM), 1-5 Dec. 2018 2018, pp. 31.2.1-31.2.4, doi: 10.1109/IEDM.2018.8614614. [2.30] Muhammad A. Alam, Mengwei Si, Peide D. Ye, ‘A critical review of recent progress on negative capacitance field-effect transistors.’ Appl. Phys. Lett. 4 March 2019; 114 (9): 090401. [2.31] A. I. Khan, Negative capacitance for ultra-low power computing. University of California, Berkeley, 2015. [2.32] C. Jin, T. Saraya, T. Hiramoto and M. Kobayashi, "On the Physical Mechanism of Transient Negative Capacitance Effect in Deep Subthreshold Region," in IEEE Journal of the Electron Devices Society, vol. 7, pp. 368-374, 2019, doi: 10.1109/JEDS.2019.2899727. [2.33] C. Jin, T. Saraya, T. Hiramoto and M. Kobayashi, "Physical Mechanisms of Reverse DIBL and NDR in FeFETs With Steep Subthreshold Swing," in IEEE Journal of the Electron Devices Society, vol. 8, pp. 429-434, 2020, doi: 10.1109/JEDS.2020.2986345. [2.34] K. Lee, S. Kim, J. -H. Lee, D. Kwon and B. -G. Park, "Analysis on Reverse Drain-Induced Barrier Lowering and Negative Differential Resistance of Ferroelectric-Gate Field-Effect Transistor Memory," in IEEE Electron Device Letters, vol. 41, no. 8, pp. 1197-1200, Aug. 2020, doi: 10.1109/LED.2020.3000766. [2.35] J. Seo, J. Lee and M. Shin, "Analysis of Drain-Induced Barrier Rising in Short-Channel Negative-Capacitance FETs and Its Applications," in IEEE Transactions on Electron Devices, vol. 64, no. 4, pp. 1793-1798, April 2017, doi: 10.1109/TED.2017.2658673. [2.36] Hong Zhou, Daewoong Kwon, Angada B. Sachid, Yuhung Liao, Korok Chatterjee, Ava J. Tan, Ajay K. Yadav, Chenming Hu, and Sayeef Salahuddin, "Negative Capacitance, n-Channel, Si FinFETs: Bi-directional Sub-60 mV/dec, Negative DIBL, Negative Differential Resistance and Improved Short Channel Effect," 2018 IEEE Symposium on VLSI Technology, Honolulu, HI, USA, 2018, pp. 53-54, doi: 10.1109/VLSIT.2018.8510691. [2.37] Jiuren Zhou, Genquan Han, Jing Li, Yan Liu, Yue Peng, Jincheng Zhang, Qing-Qing Sun, David Wei Zhang, and Yue Hao, "Negative Differential Resistance in Negative Capacitance FETs," in IEEE Electron Device Letters, vol. 39, no. 4, pp. 622-625, April 2018, doi: 10.1109/LED.2018.2810071.
Ch3 References [3.1] S. Salahuddin and S. Datta, "Use of Negative Capacitance to Provide Voltage Amplification for Low Power Nanoscale Devices," Nano Letters, vol. 8, pp. 405-410, 2008. doi:10.1021/nl071804g. [3.2] A. I. Khan, K. Chatterjee, J. P. Duarte, Z. Lu, A. Sachid, S. Khandelwal, R. Ramesh, C. Hu, and S. Salahuddin, "Negative Capacitance in Short-Channel FinFETs Externally Connected to an Epitaxial Ferroelectric Capacitor," IEEE Electron Device Letters, vol. 37, pp. 111-114, 2016. doi:10.1109/LED.2015.2501319. [3.3] D. Kwon et al., "Improved Subthreshold Swing and Short Channel Effect in FDSOI n-Channel Negative Capacitance Field Effect Transistors," IEEE Electron Device Letters, vol. 39, no. 2, pp. 300-303, 2018, doi: 10.1109/LED.2017.2787063. [3.4] H. Zhou et al., "Negative Capacitance, n-Channel, Si FinFETs: Bi-directional Sub-60 mV/dec, Negative DIBL, Negative Differential Resistance and Improved Short Channel Effect," 2018 IEEE Symposium on VLSI Technology, Honolulu, HI, 2018, pp. 53-54, doi: 10.1109/VLSIT.2018.8510691. [3.5] A. K. Yadav, K. X. Nguyen, Z. Hong, P. García-Fernández, P. Aguado-Puente, C. T. Nelson, S. Das, B. Prasad, D. Kwon, S. Cheema, A. I. Khan, C. Hu, J. Íñiguez, J. Junquera, L.-Q. Chen, D. A. Muller, R. Ramesh, and S. Salahuddin, "Spatially resolved steady-state negative capacitance," Nature, vol. 565, pp. 468-471, 2019. doi:10.1038/s41586-018-0855-y. [3.6] J. Xu, S.-Y. Jiang, M. Zhang, H. Zhu, L. Chen, Q.-Q. Sun, and D. W. Zhang, "Ferroelectric HfZrOx-based MoS2 negative capacitance transistor with ITO capping layers for steep-slope device application," Appl. Phys. Lett., vol. 112, no. 10, Mar. 2018, Art. no. 103104, doi: 10.1063/1.5019418. [3.7] M. Hoffmann, A. I. Khan, C. Serrao, Z. Lu, S. Salahuddin, M. Pešić, S. Slesazeck, U. Schroeder, and T. Mikolajick, "Ferroelectric negative capacitance domain dynamics," J. Appl. Phys., vol. 123, no. 18, May. 2018, Art. no. 184101, doi: 10.1063/1.5030072. [3.8] M. J. Tsai, P. J. Chen, C. C. Hsu, D. B. Ruan, F. J. Hou, P. Y. Peng, and Y. C. Wu, "Atomic-Level Analysis of Sub-5-nm-Thick Hf0.5Zr0.5O2 and Characterization of Nearly Hysteresis-Free Ferroelectric FinFET," IEEE Electron Device Lett., vol. 40, no. 8, pp. 1233-1236, 2019, doi: 10.1109/LED.2019.2922239. [3.9] J. Íñiguez, P. Zubko, I. Luk’yanchuk, and A. Cano, "Ferroelectric negative capacitance," Nat. Rev. Mater., vol. 4, no. 4, pp. 243-256, Apr, 2019, doi: 10.1038/s41578-019-0089-0. [3.10] G. A. Salvatore, A. Rusu, and A. M. Ionescu, "Experimental confirmation of temperature dependent negative capacitance in ferroelectric field effect transistor," Appl. Phys. Lett., vol. 100, no. 16, Apr. 2012, Art. no. 163504, doi: 10.1063/1.4704179. [3.11] A. I. Khan, K. Chatterjee, B. Wang, S. Drapcho, L. You, C. Serrao, S. R. Bakaul, R. Ramesh, and S. Salahuddin, "Negative capacitance in a ferroelectric capacitor," Nat. Mater., vol. 14, no. 2, pp. 182-186, Feb. 2015, doi: 10.1038/NMAT4148. [3.12] W. Chung, M. Si, and P. D. Ye, "Hysteresis-free negative capacitance germanium CMOS FinFETs with Bi-directional Sub-60 mV/dec," in 2017 IEEE International Electron Devices Meeting (IEDM), 2017, pp. 15.3.1-15.3.4. doi:10.1109/IEDM.2017.8268395. [3.13] C. Su, T. Hong, Y. Tsou, F. Hou, P. Sung, M. Yeh, C. Wan, K. Kao, Y. Tang, C. Chiu, C. Wang, S.Chung, T. You, Y. Huang, C. Wu, K. Lin, G. Luo, K. Huang, Y. Lee, T. Chao, W. Wu, G. Huang, J. Shieh, W. Yeh, and Y. Wang, "Ge nanowire FETs with HfZrOx ferroelectric gate stack exhibiting SS of sub-60 mV/dec and biasing effects on ferroelectric reliability," in 2017 IEEE International Electron Devices Meeting (IEDM), 2017, pp. 15.4.1-15.4.4. doi:10.1109/IEDM. 2017.8268396. [3.14] Alam, M.N.K., Roussel, P., Heyns, M. et al. "Positive non-linear capacitance: the origin of the steep subthreshold-slope in ferroelectric FETs. " in Sci Rep, vol. 9, 14957 (2019). doi: 10.1038/s41598-019-51237-2. [3.15] C. Jin, T. Saraya, T. Hiramoto and M. Kobayashi, "On the Physical Mechanism of Transient Negative Capacitance Effect in Deep Subthreshold Region," in IEEE Journal of the Electron Devices Society, vol. 7, pp. 368-374, 2019, doi: 10.1109/JEDS.2019.2899727. [3.16] C. Jin, T. Saraya, T. Hiramoto and M. Kobayashi, "Physical Mechanisms of Reverse DIBL and NDR in FeFETs With Steep Subthreshold Swing," in IEEE Journal of the Electron Devices Society, vol. 8, pp. 429-434, 2020, doi: 10.1109/JEDS.2020.2986345. [3.17] D. Moon, S. Choi, J. P. Duarte, and Y. Choi, "Investigation of Silicon Nanowire Gate-All-Around Junctionless Transistors Built on a Bulk Substrate," IEEE Transactions on Electron Devices, vol. 60, no. 4, pp. 1355-1360, 2013, doi: 10.1109/ted.2013.2247763. [3.18] Y.-M. Niquet, H. Mera, and C. Delerue, "Impurity-limited mobility and variability in gate-all-around silicon nanowires," Appl. Phys. Lett., vol. 100, no. 15, Apr. 2012, Art. no. 153119, doi: 10.1063/1.4704174. [3.19] M.-F. Hung, Y.-C. Wu, and Z.-Y. Tang, "High-performance gate-all-around polycrystalline silicon nanowire with silicon nanocrystals nonvolatile memory," Appl. Phys. Lett., vol. 98, no. 16, Apr. 2011, Art. no. 162108, doi: 10.1063/1.3582925. [3.20] F. Hou, P. Sung, F. Hsueh, C. Wu, Y. Lee, Y. Li, S. Samukawa, and T. Hou, "Suspended Diamond-Shaped Nanowire With Four {111} Facets for High-Performance Ge Gate-All-Around FETs," IEEE Transactions on Electron Devices, vol. 63, pp. 3837-3843, 2016. doi:10.1109/TED.2016.2597317. [3.21] Y. Lee, F. Hou, S. Chuang, F. Hsueh, K. Kao, P. Sung, W. Yuan, J. Yao, Y. Lu, K. Lin, C. Wu, H. Chen, B. Chen, G. Huang, H. J. H. Chen, J. Li, Y. Li, S. Samukawa, T. Chao, T. Tseng, W. Wu, T. Hou, and W. Yeh, "Diamond-shaped Ge and Ge0.9Si0.1 gate-all-around nanowire FETs with four {111} facets by dry etch technology," in 2015 IEEE International Electron Devices Meeting (IEDM), 2015, pp. 15.1.1-15.1.4. doi:10.1109/IEDM.2015.7409701. [3.22] J.-P. Colinge, C.-W. Lee, A. Afzalian, N. D. Akhavan, R. Yan, I. Ferain, P. Razavi, B. O'Neill, A. Blake, M. White, A.-M. Kelleher, B. McCarthy, and R. Murphy, "Nanowire transistors without junctions," Nat. Nanotechnol., vol. 5, no. 3, pp. 225-229, Mar. 2010, doi: 10.1038/nnano.2010.15. [3.23] L.-C. Chen, M.-S. Yeh, Y.-R. Lin, K.-W. Lin, M.-H. Wu, V. Thirunavukkarasu, and Y.-C. Wu, "The physical analysis on electrical junction of junctionless FET," AIP Adv., vol. 7, no. 2, p. 025301, Feb. 2017, doi: 10.1063/1.4975768. [3.24] V. Thirunavukkarasu, Y.-R. Jhan, Y.-B. Liu, E. D. Kurniawan, Y. R. Lin, S.-Y. Yang, C.-H. Cheng, and Y.-C. Wu, "Gate-all-around junctionless silicon transistors with atomically thin nanosheet channel (0.65 nm) and record sub-threshold slope (43 mV/dec)," Appl. Phys. Lett., vol. 110, no. 3, Jan. 2017, Art. no. 032101, doi: 10.1063/1.4974255. [3.25] M. J. Tsai, Y. Y. Chiang, Y. R. Lin, E. D. Kurniawan, and Y. C. Wu, “Hybrid N-Type Poly-Si Ultra-Thin Nanowire Shell Channel with P-Substrate Structure by Electron Beam Lithography Adjustment for Junctionless Field-Effect Transistors,” ECS J. Solid State Sci. Technol., 7, pp. 201-205, 2018, doi: 10.1149/2.0111811jss. [3.26] Y. Cheng, H. Chen, C. Shao, J. Su, Y. Wu, C. Chang, and T. Chang, "Performance enhancement of a novel P-type junctionless transistor using a hybrid poly-Si fin channel," IEEE Int. Electron Devices Meet., 2014, pp. 26.7.1-26.7.4., doi: 10.1109/iedm.2014.7047116. [3.27] K. Chen et al., "Ferroelectric HfZrOx FETs on SOI Substrate With Reverse-DIBL (Drain-Induced Barrier Lowering) and NDR (Negative Differential Resistance)," in IEEE Journal of the Electron Devices Society, vol. 6, pp. 900-904, 2018, doi: 10.1109/JEDS.2018.2863283. [3.28] K. Lee, S. Kim, J. Lee, D. Kwon and B. Park, "Analysis on Reverse Drain-Induced Barrier Lowering and Negative Differential Resistance of Ferroelectric-Gate Field-Effect Transistor Memory," in IEEE Electron Device Letters, vol. 41, no. 8, pp. 1197-1200, Aug. 2020, doi: 10.1109/LED.2020.3000766.
Ch4 Reference [4.1] H. Arimura, S. Sioncke, D. Cott, J. Mitard, T. Conard, W. Vanherle, R. Loo, P. Favia, H. Bender, J. Meersschaut, L. Witters, H. Mertens, J. Franco, L. Ragnarsson, G. Pourtois, M. Heyns, A. Mocuta, N. Collaert, and A. V. Thean, "Ge nFET with high electron mobility and superior PBTI reliability enabled by monolayer-Si surface passivation and La-induced interface dipole formation," in 2015 IEEE International Electron Devices Meeting (IEDM), 7-9 Dec. 2015, pp. 21.6.1-21.6.4, doi: 10.1109/IEDM.2015.7409752. [4.2] H. Mertens, R. Ritzenthaler, H. Arimura, J. Franco, F. Sebaai, A. Hikavyy, B. J. Pawlak, V. Machkaoutsan, K. Devriendt, D. Tsvetanova, A. P. Milenin, L. Witters, A. Dangol, E. Vancoille, H. Bender, M. Badaroglu, F. Holsteyns, K. Barla, D. Mocuta, N. Horiguchi, and A. V. Thean, "Si-cap-free SiGe p-channel FinFETs and gate-all-around transistors in a replacement metal gate process: Interface trap density reduction and performance improvement by high-pressure deuterium anneal," in 2015 Symposium on VLSI Technology (VLSI Technology), 16-18 June 2015, pp. T142-T143, doi: 10.1109/VLSIT.2015.7223654. [4.3] Apoorva, N. Kumar, S. I. Amin, and S. Anand, "Design and Performance Optimization of Novel Core–Shell Dopingless GAA-Nanotube TFET With Si0.5Ge0.5-Based Source," IEEE Transactions on Electron Devices, vol. 67, no. 3, pp. 789-795, 2020, doi: 10.1109/TED.2020.2965244. [4.4] Q. Zhao, S. Richter, C. Schulte-Braucks, L. Knoll, S. Blaeser, G. V. Luong, S. Trellenkamp, A. Schäfer, A. Tiedemann, J. Hartmann, K. Bourdelle, and S. Mantl, "Strained Si and SiGe Nanowire Tunnel FETs for Logic and Analog Applications," IEEE Journal of the Electron Devices Society, vol. 3, no. 3, pp. 103-114, 2015, doi: 10.1109/JEDS.2015.2400371. [4.5] W. Chang, H. Ota, and T. Maeda, "Gate-First High-Performance Germanium nMOSFET and pMOSFET Using Low Thermal Budget Ion Implantation After Germanidation Technique," IEEE Electron Device Letters, vol. 37, no. 3, pp. 253-256, 2016, doi: 10.1109/LED.2016.2523518. [4.6] M. J. H. v. Dal, G. Vellianitis, G. Doornbos, B. Duriez, M. C. Holland, T. Vasen, A. Afzalian, E. Chen, S. K. Su, T. K. Chen, T. M. Shen, Z. Q. Wu, and C. H. Diaz, "Ge CMOS gate stack and contact development for Vertically Stacked Lateral Nanowire FETs," in 2018 IEEE International Electron Devices Meeting (IEDM), 1-5 Dec.2018, pp. 21.1.1-21.1.4, doi: 10.1109/IEDM.2018.8614577. [4.7] A. Ritenour, J. Hennessy, and D. A. Antoniadis, "Investigation of Carrier Transport in Germanium MOSFETs With WN/Al2O3/AlN Gate Stacks," IEEE Electron Device Letters, vol. 28, no. 8, pp. 746-749, 2007, doi: 10.1109/LED.2007.901272. [4.8] C. Chu, K. Wu, G. Luo, B. Chen, S. Chen, W. Wu, and W. Yeh, "Stacked Ge-Nanosheet GAAFETs Fabricated by Ge/Si Multilayer Epitaxy," IEEE Electron Device Letters, vol. 39, no. 8, pp. 1133-1136, 2018, doi: 10.1109/LED.2018.2850366. [4.9] K. Seo, B. Haran, D. Gupta, D. Guo, T. Standaert, R. Xie, H. Shang, E. Alptekin, D. Bae, G. Bae, C. Boye, H. Cai, D. Chanemougame, R. Chao, K. Cheng, J. Cho, K. Choi, B. Hamieh, J. G. Hong, T. Hook, L. Jang, J. Jung, R. Jung, D. Lee, B. Lherron, R. Kambhampati, B. Kim, H. Kim, K. Kim, T. S. Kim, S. Ko, F. L. Lie, D. Liu, H. Mallela, E. Mclellan, S. Mehta, P. Montanini, M. Mottura, J. Nam, S. Nam, F. Nelson, I. Ok, C. Park, Y. Park, A. Paul, C. Prindle, R. Ramachandran, M. Sankarapandian, V. Sardesai, A. Scholze, S. Seo, J. Shearer, R. Southwick, R. Sreenivasan, S. Stieg, J. Strane, X. Sun, M. G. Sung, C. Surisetty, G. Tsutsui, N. Tripathi, R. Vega, C. Waskiewicz, M. Weybright, C. Yeh, H. Bu, S. Burns, D. Canaperi, M. Celik, M. Colburn, H. Jagannathan, S. Kanakasabaphthy, W. Kleemeier, L. Liebmann, D. Mcherron, P. Oldiges, V. Paruchuri, T. Spooner, J. Stathis, R. Divakaruni, T. Gow, J. Iacoponi, J. Jenq, R. Sampson, and M. Khare, "A 10nm platform technology for low power and high performance application featuring FINFET devices with multi workfunction gate stack on bulk and SOI," in 2014 Symposium on VLSI Technology, 9-12 June 2014, pp. 1-2, doi: 10.1109/VLSIT.2014.6894342. [4.10] D. Guo, G. Karve, G. Tsutsui, K. Lim, R. Robison, T. Hook, R. Vega, D. Liu, S. Bedell, S. Mochizuki, F. Lie, K. Akarvardar, M. Wang, R. Bao, S. Burns, V. Chan, K. Cheng, J. Demarest, J. Fronheiser, P. Hashemi, J. Kelly, J. Li, N. Loubet, P. Montanini, B. Sahu, M. Sankarapandian, S. Sieg, J. Sporre, J. Strane, R. Southwick, N. Tripathi, R. Venigalla, J. Wang, K. Watanabe, C. W. Yeung, D. Gupta, B. Doris, N. Felix, A. Jacob, H. Jagannathan, S. Kanakasabapathy, R. Mo, V. Narayanan, D. Sadana, P. Oldiges, J. Stathis, T. Yamashita, V. Paruchuri, M. Colburn, A. Knorr, R. Divakaruni, H. Bu, and M. Khare, "FINFET technology featuring high mobility SiGe channel for 10nm and beyond," in 2016 IEEE Symposium on VLSI Technology, 14-16 June 2016, pp. 1-2, doi: 10.1109/VLSIT.2016.7573360. [4.11] M. V. Fischetti and S. E. Laux, "Band structure, deformation potentials, and carrier mobility in strained Si, Ge, and SiGe alloys," Journal of Applied Physics, vol. 80, no. 4, pp. 2234-2252, 1996, doi: 10.1063/1.363052. [4.12] C. Jeong, H. Park, S. Dhar, S. Park, K. Lee, S. Jin, W. Choi, U. Kwon, K. Lee, and Y. Park, "Physical understanding of alloy scattering in SiGe channel for high-performance strained pFETs," in 2013 IEEE International Electron Devices Meeting, 9-11 Dec. 2013, pp. 12.2.1-12.2.4, doi: 10.1109/IEDM.2013.6724614. [4.13] T. Lee, K. Kato, M. Ke, M. Takenaka, and S. Takagi, "Improvement of SiGe MOS interface properties with a wide range of Ge contents by using TiN/Y2O3 gate stacks with TMA nassivation," in 2019 Symposium on VLSI Technology, 9-14 June 2019, pp. T100-T101, doi: 10.23919/VLSIT.2019.8776523. [4.14] C. H. Lee, S. Mochizuki, R. G. Southwick, J. Li, X. Miao, R. Bao, T. Ando, R. Galatage, S. Siddiqui, C. Labelle, A. Knorr, J. H. Stathis, D. Guo, V. Narayanan, B. Haran, and H. Jagannathan, "A comparative study of strain and Ge content in Si1?xGex channel using planar FETs, FinFETs, and strained relaxed buffer layer FinFETs," in 2017 IEEE International Electron Devices Meeting (IEDM), 2-6 Dec. 2017, pp. 37.2.1-37.2.4, doi: 10.1109/IEDM.2017.8268509. [4.15] C. H. Lee, R. G. Southwick, S. Mochizuki, J. Li, X. Miao, M. Wang, R. Bao, I. Ok, T. Ando, P. Hashemi, D. Guo, V. Narayanan, N. Loubet, and H. Jagannathan, "Toward High Performance SiGe Channel CMOS: Design of High Electron Mobility in SiGe nFinFETs Outperforming Si," in 2018 IEEE International Electron Devices Meeting (IEDM), 1-5 Dec. 2018, pp. 35.1.1-35.1.4, doi: 10.1109/IEDM.2018.8614581. [4.16] G. Tsutsui, C. Durfee, M. Wang, A. Konar, H. Wu, S. Mochizuki, R. Bao, S. Bedell, J. Li, H. Zhou, D. Schmidt, C. J. Yang, J. Kelly, K. Watanabe, T. Levin, W. Kleemeier, D. Guo, D. Sadana, D. Gupta, A. Knorr, and H. Bu, "Leakage aware Si/SiGe CMOS FinFET for low power applications," in 2018 IEEE Symposium on VLSI Technology, 18-22 June 2018, pp. 87-88, doi: 10.1109/VLSIT.2018.8510639. [4.17] M. J. H. v. Dal, N. Collaert, G. Doornbos, G. Vellianitis, G. Curatola, B. J. Pawlak, R. Duffy, C. Jonville, B. Degroote, E. Altamirano, E. Kunnen, M. Demand, S. Beckx, T. Vandeweyer, C. Delvaux, F. Leys, A. Hikavyy, R. Rooyackers, M. Kaiser, R. G. R. Weemaes, S. Biesemans, M. Jurczak, K. Anil, L. Witters, and R. J. P. Lander, "Highly manufacturable FinFETs with sub-10nm fin width and high aspect ratio fabricated with immersion lithography," in 2007 IEEE Symposium on VLSI Technology, 12-14 June 2007 2007, pp. 110-111, doi: 10.1109/VLSIT.2007.4339747. [4.18] J. Kavalieros, B. Doyle, S. Datta, G. Dewey, M. Doczy, B. Jin, D. Lionberger, M. Metz, W. Rachmady, M. Radosavljevic, U. Shah, N. Zelick, and R. Chau, "Tri-Gate Transistor Architecture with High-k Gate Dielectrics, Metal Gates and Strain Engineering," in 2006 Symposium on VLSI Technology, 13-15 June 2006, pp. 50-51, doi: 10.1109/VLSIT.2006.1705211. [4.19] T. Liow, K. Tan, R. Lee, A. Du, C. Tung, G. Samudra, W. Yoo, N. Balasubramanian, and Y. Yeo, "Strained N-Channel FinFETs with 25 nm Gate Length and Silicon-Carbon Source/Drain Regions for Performance Enhancement," in 2006 Symposium on VLSI Technology, 13-15 June 2006, pp. 56-57, doi: 10.1109/VLSIT.2006.1705214. [4.20] Y. Ishii, Y. Lee, W. Wu, K. Maeda, H. Ishimura, and M. Miura, "Etch Control and SiGe Surface Composition Modulation by Low Temperature Plasma Process for Si/SiGe Dual Channel Fin Application," IEEE Journal of the Electron Devices Society, vol. 7, pp. 1277-1283, 2019, doi: 10.1109/JEDS.2019.2951360. [4.21] D. Bae, G. Bae, K. K. Bhuwalka, S. Lee, M. Song, T. Jeon, C. Kim, W. Kim, J. Park, S. Kim, U. Kwon, J. Jeon, K. Nam, S. Lee, S. Lian, K. Seo, S. Lee, P. Jae Hoo, Y. Heo, M. S. Rodder, J. A. Kittl, Y. Kim, K. Hwang, D. Kim, M. Liang, and E. S. Jung, "A novel tensile Si (n) and compressive SiGe (p) dual-channel CMOS FinFET co-integration scheme for 5nm logic applications and beyond," in 2016 IEEE International Electron Devices Meeting (IEDM), 3-7 Dec. 2016, pp. 28.1.1-28.1.4, doi: 10.1109/IEDM.2016.7838496. [4.22] H. Majima, H. Ishikuro, and T. Hiramoto, "Experimental evidence for quantum mechanical narrow channel effect in ultra-narrow MOSFET's," IEEE Electron Device Letters, vol. 21, no. 8, pp. 396-398, 2000, doi: 10.1109/55.852962. [4.23] Sentaurus TCAD Version 2016, Synopsys, Mountain View, CA, USA. [4.24] Geumjong Bae, D.-I. Bae, M.Kang, S.M.Hwang, S.S.Kim, B.Seo, T.Y.Kwon, T.J.Lee, C.Moon,Y.M.Choi, K.Oikawa, S.Masuoka, K.Y.Chun, S.H.Park, H.J.Shin, J.C.Kim, K.K.Bhuwalka,D.H.Kim, W.J. Kim, J.Yoo, H.Y.Jeon, M.S.Yang, S.-J.Chung, D.Kim, B.H.Ham, K.J.Park, W.D.Kim, S.H.Park, G.Song, Y.H.Kim, M.S.Kang, K.H.Hwang, C.-H.Park, J.-H.Lee, D.-W. Kim, S-M.Jung, H.K.Kang, "3nm GAA Technology featuring Multi-Bridge-Channel FET for Low Power and High Performance Applications," 2018 IEEE International Electron Devices Meeting (IEDM), 2018, pp. 28.7.1-28.7.4, doi: 10.1109/IEDM.2018.8614629. [4.25] Y.M. Lee, M.H. Na, A. Chu, A. Young, T. Hook, L. Liebmann, E.J. Nowak, S.H. Baek, R. Sengupta, H. Trombley, and X. Miao, "Accurate performance evaluation for the horizontal nanosheet standard-cell design space beyond 7nm technology," 2017 IEEE International Electron Devices Meeting (IEDM), 2017, pp. 29.3.1-29.3.4, doi: 10.1109/IEDM.2017.8268474. [4.26] S. Barraud, B. Previtali, C. Vizioz, J.-M. Hartmann, J. Sturm, J. Lassarre, C. Perrot, Ph. Rodriguez, V. Loup, A. Magalhaes-Lucas, R. Kies, G. Romano, M. Cassé, N. Bernier, A. Jannaud, A. Grenier, F. Andrieu., "7-Levels-Stacked Nanosheet GAA Transistors for High Performance Computing," 2020 IEEE Symposium on VLSI Technology, 2020, pp. 1-2, doi: 10.1109/VLSITechnology18217.2020.9265025. [4.27] A.Agrawal, S. Chouksey, W. Rachmady, S. Vishwanath, S. Ghose, M. Mehta, J. Torres1, A.A. Oni, X. Weng, H. Li, D. Merrill, M. Metz1, A. Murthy and J. Kavalieros, "Gate-All-Around Strained Si0.4Ge0.6 Nanosheet PMOS on Strain Relaxed Buffer for High Performance Low Power Logic Application," 2020 IEEE International Electron Devices Meeting (IEDM), 2020, pp. 2.2.1-2.2.4, doi: 10.1109/IEDM13553.2020.9371933. [4.28] R. Ritzenthaler, H. Mertens, V. Pena, G. Santoro, A. Chasin, K. Kenis, K. Devriendt, G. Mannaert, H. Dekkers, A. Dangol, Y. Lin, S. Sun, Z.Chen, M. Kim, J. Machillot, J. Mitard, N. Yoshida, N. Kim, D. Mocuta, N. Horiguchi,"Vertically Stacked Gate-All-Around Si Nanowire CMOS Transistors with Reduced Vertical Nanowires Separation, New Work Function Metal Gate Solutions, and DC/AC Performance Optimization," 2018 IEEE International Electron Devices Meeting (IEDM), 2018, pp. 21.5.1-21.5.4, doi: 10.1109/IEDM.2018.8614528. [4.29] E. Capogreco, H. Arimura, L. Witters, A. Vohra, C. Porret, R. Loo, A. De Keersgieter, E. Dupuy, D. Marinov, A. Hikavyy, F. Sebaai, G. Mannaert, L.-A. Ragnarsson, Y. K. Siew, C. Vrancken, A. Opdebeeck, J. Mitard, R. Langer, E. Altamirano Sanchez, F. Holsteyns, S. Demuynck, K. Barla, V. De Heyn, D. Mocuta, N. Collaert, N. Horiguchi , "High performance strained Germanium Gate All Around p-channel devices with excellent electrostatic control for sub-Jtlnm LG," 2019 Symposium on VLSI Technology, 2019, pp. T94-T95, doi: 10.23919/VLSIT.2019.8776558. (IEDM), 2016, pp. 19.7.1-19.7.4, doi: 10.1109/IEDM.2016.7838456. [4.30] L. Mathew, M. Sadd, S. Kalpat, M. Zavala, T. Stephens, R. Mora, S. Bagchi, C. Parker, J. Vasek, D. Sing, R. Shimer, L. Prabhu, G.O. Workman, G. Ablen, Z.Shi, J.Saenz, B. Min, D. Burnett, B.-Y. Nguyen, J. Mogab., M.M. Chowdhury, W. Zhang, J.G. Fossum, "Inverted T channel FET (ITFET) - Fabrication and characteristics of vertical-horizontal, thin body, multi-gate, multi-orientation devices, ITFET SRAM bit-cell operation. A novel technology for 45nm and beyond CMOS.," IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest., 2005, pp. 713-716, doi: 10.1109/IEDM.2005.1609452. [4.31] W. Zhang, J. G. Fossum and L. Mathew, "The ITFET: A Novel FinFET-Based Hybrid Device," in IEEE Transactions on Electron Devices, vol. 53, no. 9, pp. 2335-2343, Sept. 2006, doi: 10.1109/TED.2006.880813. [4.32] Daewoong Kwon, Korok Chatterjee, Ava J. Tan, Ajay K. Yadav, Hong Zhou, Angada B. Sachid, Roberto dos Reis, Chenming Hu, and Sayeef Salahuddin, "Improved Subthreshold Swing and Short Channel Effect in FDSOI n-Channel Negative Capacitance Field Effect Transistors," in IEEE Electron Device Letters, vol. 39, no. 2, pp. 300-303, Feb. 2018, doi: 10.1109/LED.2017.2787063. [4.33] Meng-Ju Tsai, Pin-Jui Chen, Chieng-Chung Hsu, Dun-Bao Ruan, Fu-Ju Hou, Po-Yang Peng, and Yung-Chun Wu, "Atomic-Level Analysis of Sub-5-nm-Thick Hf0.5Zr0.5O2 and Characterization of Nearly Hysteresis-Free Ferroelectric FinFET," in IEEE Electron Device Letters, vol. 40, no. 8, pp. 1233-1236, Aug. 2019, doi: 10.1109/LED.2019.2922239. [4.34] Masaharu Kobayashi, "A perspective on steep-subthreshold-slope negative-capacitance field-effect transistor," in Appl. Phys. Express, 11 110101 (2018), https://doi.org/10.7567/APEX.11.110101. [4.35] Lun Xu, Tomonori Nishimura, Shigehisa Shibayama, Takeaki Yajima, Shinji Migita, and Akira Toriumi, "Kinetic pathway of the ferroelectric phase formation in doped HfO2 films", Journal of Applied Physics 122, 124104 (2017) https://doi.org/10.1063/1.5003918 [4.36] Yi-Wen Lin, Chong-Jhe Sun, Hao-Hsiang Chang, Yu-Hsien Huang, Tung-Yuan Yu, Yung-Chun Wu, and Fu-Ju Hou, "Self-induced ferroelectric 2-nm-thick Ge-doped HfO2 thin film applied to Ge nanowire ferroelectric gate-all-around field-effect transistor", Applied Physics Letters 117, 262109 (2020) https://doi.org/10.1063/5.0029628 [4.37] J. Huang, P. D. Kirsch, J. Oh, S.H. Lee, J. Price, P. Majhi, H.R. Harris, D. C. Gilmer, D. Q. Kelly, P. Sivasubramani, G. Bersuker, D. Heh, C. Young, C.S. Park, Y. N. Tan, N. Goel, C. Park, P.Y. Hung, P. Lysaght, K. J. Choi, B. J. Cho, H.-H. Tseng, B .H. Lee, and R. Jammy, "Mechanisms limiting EOT scaling and gate leakage currents of high-k/metal gate stacks directly on SiGe and a method to enable sub-1nm EOT," 2008 Symposium on VLSI Technology, 2008, pp. 82-83, doi: 10.1109/VLSIT.2008.4588571. [4.38] Sung-Young Lee, Sung-Min Kim, Eun-Jung Yoon, Chang-Woo Oh, Ilsub Chung, Donggun Park, and Kinam Kim, "A novel multibridge-channel MOSFET (MBCFET): fabrication technologies and characteristics," in IEEE Transactions on Nanotechnology, vol. 2, no. 4, pp. 253-257, Dec. 2003, doi: 10.1109/TNANO.2003.820777. [4.39] Chong-Jhe Sun, Meng-Ju Tsai, Siao-Cheng Yan, Tzu-Ming Chu, Chieng-Chung Hsu, Chun-Lin Chu, Guang-Li Luo, and Yung-Chun Wu, "Low Ge Content Ultra-Thin Fin Width (5nm) Monocrystalline SiGe n-Type FinFET With Low Off State Leakage and High ION/IOFF Ratio," in IEEE Journal of the Electron Devices Society, vol. 8, pp. 1016-1020, 2020, doi: 10.1109/JEDS.2020.3023953. [4.40] T. S. Böscke, J. Müller, D. Bräuhaus, U. Schröder and U. Böttger, "Ferroelectricity in hafnium oxide: CMOS compatible ferroelectric field effect transistors," 2011 International Electron Devices Meeting, 2011, pp. 24.5.1-24.5.4, doi: 10.1109/IEDM.2011.6131606. [4.41] J.F. Moulder, P.E. Sobol, W.F. Stickle, “Handbook of X-ray photoelectron spectroscopy”, Physical Electronics Inc., Eden Prairie, MN, 1995, pp. 33 [4.42] Gang He, Jiwen Zhang, Zhaoqi Sun, Jianguo Lv, Hanshuang Chen, and Mao Liu , "Evolution of interface chemistry and dielectric properties of HfO2/Ge gate stack modulated by Gd incorporation and thermal annealing", AIP Advances 6, 025003 (2016) https://doi.org/10.1063/1.4941698 [4.43] O. Renault, L. Fourdrinier, E. Martinez, L. Clavelier, and C. Leroyer, "High-resolution photoelectron spectroscopy of Ge-based HfO2 gate stacks", Appl. Phys. Lett. 90, 052112 (2007) https://doi.org/10.1063/1.2435512 [4.44] C. Jin, T. Saraya, T. Hiramoto and M. Kobayashi, "On the Physical Mechanism of Transient Negative Capacitance Effect in Deep Subthreshold Region," in IEEE Journal of the Electron Devices Society, vol. 7, pp. 368-374, 2019, doi: 10.1109/JEDS.2019.2899727.
Ch5 Reference [5.1] Huang, C.-Y.; Dewey, G.; Mannebach, E.; Phan, A.; Morrow, P.; Rachmady, W.; Tung, I.-C.; Thomas, N.; Alaan, U.; Paul, R.; et al. 3-D Self-aligned Stacked NMOS-on-PMOS Nanoribbon Transistors for Continued Moore’s Law Scaling. In Proceedings of the 2020 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 12–18 December 2020; pp. 20.6.1–20.6.4. https://doi.org/10.1109/iedm13553.2020.9372066. [5.2] Ryckaert, J.; Schuddinck, P.; Weckx, P.; Bouche, G.; Vincent, B.; Smith, J.; Sherazi, Y.; Mallik, A.; Mertens, H.; Demuynck, S.; et al. The Complementary FET (CFET) for CMOS scaling beyond N3. In Proceedings of the 2018 IEEE Symposium on VLSI Technology, Honolulu, HI, USA, 18–22 June 2018; pp. 141–142. https://doi.org/10.1109/vlsit.2018.8510618. [5.3] Subramanian, S.; Hosseini, M.; Chiarella, T.; Sarkar, S.; Schuddinck, P.; Chan, B.T.; Radisic, D.; Mannaert, G.; Hikavyy, A.; Rosseel, E.; et al. First Monolithic Integration of 3D Complementary FET (CFET) on 300mm Wafers. In Proceedings of the 2020 IEEE Symposium on VLSI Technology, Honolulu, HI, USA, 16–19 June 2020; pp. 1–2. https://doi.org/10.1109/vlsitechnology18217.2020.9265073. [5.4] Rachmady, W.; Agrawal, A.; Sung, S.H.; Dewey, G.; Chouksey, S.; Chu-Kung, B.; Elbaz, G.; Fischer, P.; Huang, C.Y.; Jun, K.; et al. 300mm Heterogeneous 3D Integration of Record Performance Layer Transfer Germanium PMOS with Silicon NMOS for Low Power High Performance Logic Applications. In Proceedings of the 2019 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 7–11 December 2019; pp. 29.7.1–29.7.4. [5.5] Ragnarsson, L.Å.; Chew, S.A.; Dekkers, H.; Luque, M.T.; Parvais, B.; De Keersgieter, A.; Devriendt, K.; Van Ammel, A.; Schram, T.; Yoshida, N.; et al. Highly scalable bulk FinFET Devices with Multi-VT options by conductive metal gate stack tuning for the 10-nm node and beyond. In Proceedings of the 2014 Symposium on VLSI Technology (VLSI-Technology): Digest of Technical Papers, Honolulu, HI, USA, 9–12 June 2014; pp. 1–2. https://doi.org/10.1109/vlsit.2014.6894359. [5.6] Ragnarsson, L.-A.; Dekkers, H.; Matagne, P.; Schram, T.; Conard, T.; Horiguchi, N.; Thean, A.V.-Y. Zero-thickness multi work function solutions for N7 bulk FinFETs. In Proceedings of the 2016 IEEE Symposium on VLSI Technology, Honolulu, HI, USA , 14–16 June 2016; pp. 1–2. https://doi.org/10.1109/vlsit.2016.7573393. [5.7] Yoshida, N.; Hassan, S.; Tang, W.; Yang, Y.; Zhang, W.; Chen, S.C.; Dong, L.; Zhou, H.; Jin, M.; Okazaki, M.; et al. Highly conductive metal gate fill integration solution for extremely scaled RMG stack for 5 nm & beyond. In Proceedings of the 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2–6 December 2017; pp. 22.2.1–22.2.4. https://doi.org/10.1109/iedm.2017.8268439. [5.8] Ritzenthaler, R.; Mertens, H.; Pena, V.; Santoro, G.; Chasin, A.; Kenis, K.; Devriendt, K.; Mannaert, G.; Dekkers, H.; Dangol, A.; et al. Vertically Stacked Gate-All-Around Si Nanowire CMOS Transistors with Reduced Vertical Nanowires Separation, New Work Function Metal Gate Solutions, and DC/AC Performance Optimization. In Proceedings of the 2018 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 1–5 December 2018; pp. 21.5.1–21.5.4. https://doi.org/10.1109/iedm.2018.8614528. [5.9] Sung, P.-J.; Chang, S.-W.; Kao, K.-H.; Wu, C.-T.; Su, C.-J.; Cho, T.-C.; Hsueh, F.-K.; Lee, W.-H.; Lee, Y.-J.; Chao, T.-S. Fabrication of Vertically Stacked Nanosheet Junctionless Field-Effect Transistors and Applications for the CMOS and CFET Inverters. IEEE Trans. Electron Devices 2020, 67, 3504–3509. https://doi.org/10.1109/ted.2020.3007134. [5.10] Bao, R.; Watanabe, K.; Zhang, J.; Zhou, H.; Sankarapandian, M.; Li, J.; Pancharatnam, S.; Jamison, P.; Southwick, R.G.; Wang, M.; et al. Selective Enablement of Dual Dipoles for near Bandedge Multi-Vt Solution in High Performance FinFET and Nanosheet Technologies. In Proceedings of the 2020 IEEE Symposium on VLSI Technology, Honolulu, HI, USA, 16–19 June 2020; pp. 1–2. https://doi.org/10.1109/vlsitechnology18217.2020.9265010. [5.11] Xu, R.; Yao, J.; Xu, G.; Wei, Y.; Yin, H.; Zhang, Q.; Tian, G.; Wang, Y.; Yan, G.; Xiang, J.; et al. Experimental Investigation of Ultrathin Al₂O₃ Ex-Situ Interfacial Doping Strategy on Laminated HKMG Stacks via ALD. IEEE Trans. Electron Devices 2022, 69, 1964–1971. https://doi.org/10.1109/ted.2022.3152976. [5.12] Kumar, P.; Leroux, C.; Mohamad, B.; Toffoli, A.; Romano, G.; Garros, X.; Reimbold, G.; Domengie, F.; Segovia, C.S.; Ghibaudo, G. Effect of La and Al addition used for threshold voltage shift on the BTI reliability of HfON-based FDSOI MOSFETs. In Proceedings of the 2017 IEEE International Reliability Physics Symposium (IRPS), Monterey, CA, USA, 2–6 April 2017; pp. 2B-2.1–2B-2.7. https://doi.org/10.1109/irps.2017.7936258. [5.13] Arimura, H.; Ragnarsson, L.-A.; Oniki, Y.; Franco, J.; Vandooren, A.; Brus, S.; Leonhardt, A.; Sippola, P.; Ivanova, T.; Verni, G.A.; et al. Dipole-First Gate Stack as a Scalable and Thermal Budget Flexible Multi-Vt Solution for Nanosheet/CFET Devices. In Proceedings of the 2021 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 11–16 December 2021; pp. 13.5.1–13.5.4. https://doi.org/10.1109/iedm19574.2021.9720527. [5.14] Thompson, S.; Armstrong, M.; Auth, C.; Alavi, M.; Buehler, M.; Chau, R.; Cea, S.; Ghani, T.; Glass, G.; Hoffman, T.; et al. A 90-nm Logic Technology Featuring Strained-Silicon. IEEE Trans. Electron Devices 2004, 51, 1790–1797. https://doi.org/10.1109/ted.2004.836648. [5.15] Harris, H.R.; Kalra, P.; Majhi, P.; Hussain, M.; Kelly, D.; Oh, J.; He, D.; Smith, C.; Barnett, J.; Kirsch, P.D.; et al. Band-Engineered Low PMOS VT with High-K/Metal Gates Featured in a Dual Channel CMOS Integration Scheme. In Proceedings of the 2007 IEEE Symposium on VLSI Technology, Kyoto, Japan, 12–14 June 2007; pp. 154–155. https://doi.org/10.1109/vlsit.2007.4339763. [5.16] Guo, D.; Karve, G.; Tsutsui, G.; Lim, K.-Y.; Robison, R.; Hook, T.; Vega, R.; Liu, D.; Bedell, S.; Mochizuki, S.; et al. FINFET technology featuring high mobility SiGe channel for 10nm and beyond. In Proceedings of the 2016 IEEE Symposium on VLSI Technology, Honolulu, HI, USA, 14–16 June 2016; pp. 1–2. https://doi.org/10.1109/vlsit.2016.7573360. [5.17] Lee, C.H.; Mochizuki, S.; Southwick, R.G.; Li, J.; Miao, X.; Bao, R.; Ando, T.; Galatage, R.; Siddiqui, S.; Labelle, C.; et al. A comparative study of strain and Ge content in Si1−xGex channel using planar FETs, FinFETs, and strained relaxed buffer layer FinFETs. In Proceedings of the 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2–6 December 2017; pp. 37.2.1–37.2.4. https://doi.org/10.1109/iedm.2017.8268509. [5.18] Sentaurus TCAD, version 2016; Synopsys: Mountain View, CA, USA, 2016. [5.19] International Roadmap for Devices and Systems (IRDS™), IRDS, 2020. Available online: https://irds.ieee.org/editions/2020 (accessed on 23 July 2020). [5.20] Ancona, M.G.; Tiersten, H.F. Macroscopic physics of the silicon inversion layer. Phys. Rev. B 1987, 35, 7959–7965. https://doi.org/10.1103/physrevb.35.7959. [5.21] Slotboom, J.; de Graaff, H. Measurements of bandgap narrowing in Si bipolar transistors. Solid State Electron. 1976, 19, 857–862. https://doi.org/10.1016/0038-1101(76)90043-5. [5.22] Levinshtein, M.E.; Rumyantsev, S.L.; Michael, S.S. Properties of Advanced Semiconductor Materials GaN, AlN, SiC, BN, SiC, SiGe; John Wiley & Sons, Inc.: New York, NY, USA, 2001; pp. 149–185.
|