|
Kiyoo Itoh, Takayasu Sakurai, “VLSI Memory Chip Design”, Springer-Verlag, NY, pp. 1-46, 2001. M. Bohr, "The new era of scaling in an SoC world," 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers, San Francisco, CA, 2009, pp. 23-28. F. Menichelli and M. Olivieri, "Static Minimization of Total Energy Consumption in Memory Subsystem for Scratchpad-Based Systems-on-Chips," in IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 17, no. 2, pp. 161-171, Feb. 2009. D. Smith, J. Zeiter, T. Bowman, J. Rahm, B. Kertis, A. Hall, S. Natan, L. Sanderson, R. Tromp, J. Tsang, “A 3.6ns 1Kb ECL I/O BiCMOS U.V. EPROM,” IEEE International Symposium on Circuits and Systems, vol. 3, pp. 1987-1990, May 1990. C. Kuo et al., "A 512-kb flash EEPROM embedded in a 32-b microcontroller," in IEEE Journal of Solid-State Circuits, vol. 27, no. 4, pp. 574-582, Apr 1992. S. H. Kulkarni, Z. Chen, J. He, L. Jiang, M. B. Pedersen and K. Zhang, "A 4 kb Metal-Fuse OTP-ROM Macro Featuring a 2 V Programmable 1.37 μm^21T1R Bit Cell in 32 nm High-k Metal-Gate CMOS," in IEEE Journal of Solid-State Circuits, vol. 45, no. 4, pp. 863-868, April 2010. Y. H. Tsai et al., "45nm Gateless Anti-Fuse Cell with CMOS Fully Compatible Process," 2007 IEEE International Electron Devices Meeting, Washington, DC, 2007, pp. 95-98. Webfeet Inc., “Semiconductor industry outlook,” Non-Volatile Memory Conference, Santa Clara, CA., 2002 Sang Lyul Min and Eyee Hyun Nam, "Current trends in flash memory technology," Asia and South Pacific Conference on Design Automation, 2006., Yokohama, 2006 F. Masuoka, M. Momodomi, Y. Iwata and R. Shirota, "New ultra high density EPROM and flash EEPROM with NAND structure cell," 1987 International Electron Devices Meeting, 1987, pp. 552-555. A. Bergemont, H. Haggag, L. Anderson, E. Shacham and G. Wolstenholme, "NOR virtual ground (NVG)-a new scaling concept for very high density flash EEPROM and its implementation in a 0.5 um process," Proceedings of IEEE International Electron Devices Meeting, Washington, DC, USA, 1993, pp. 15-18. R. F. Freitas and W. W. Wilcke, “Storage-class memory: The next storage system technology,” IBM Journal of Research and Development, vol. 52, no. 4-5, pp. 439-447,July 2008. R. Bez, E. Camerlenghi, A. Modelli, A. Visconti, "Introduction to Flash Memory," Proceeding of the IEEE, vol. 91, Issue 4, pp. 489-502, April 2003. Y. Koh, “NAND Flash Scaling beyond 20nm,” IEEE Internstional Memory Workshop, pp. 1-3, May 2009. K. Prall, “Scaling Non-Volatile Memory Below 30nm,” IEEE Non-Volatile Semiconductor Memory Workshop, pp. 5-10, Aug. 2007. S. Lee, "Scaling Challenges in NAND Flash Device toward 10nm Technology," IEEE International Memory Workshop, pp. 1-4, May 2012. C. Villa et al., "A 125 MHz burst-mode flexible read-while-write 256 Mbit 2b/c 1.8V NOR flash memory," ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005., San Francisco, CA, 2005, pp. 52-584 Vol. 1. C. Deml, M. Jankowski and C. Thalmaier, "A 0.13μm 2.125MB 23.5ns Embedded Flash with 2GB/s Read Throughput for Automotive Microcontrollers," 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers, San Francisco, CA, 2007, pp. 478-617. M. Sako et al., "7.1 A low-power 64Gb MLC NAND-flash memory in 15nm CMOS technology," 2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers, San Francisco, CA, 2015, pp. 1-3. C. H. Hung et al., "Layer-Aware Program-and-Read Schemes for 3D Stackable Vertical-Gate BE-SONOS NAND Flash Against Cross-Layer Process Variations," in IEEE Journal of Solid-State Circuits, vol. 50, no. 6, pp. 1491-1501, June 2015. D. Kang et al., "256Gb 3b/cell V-NAND flash memory with 48 stacked WL layers," IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp. 130-131, Feb. 2016. H. Noguchi et al., "7.5 A 3.3ns-access-time 71.2μW/MHz 1Mb embedded STT-MRAM using physically eliminated read-disturb scheme and normally-off memory architecture," 2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers, San Francisco, CA, 2015, pp. 1-3. H. Noguchi et al., "4Mb STT-MRAM-based cache with memory-access-aware power optimization and write-verify-write / read-modify-write scheme," IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp. 132-133, Feb. 2016. Y. Choi, et al., “A 20nm 1.8V 8Gb PRAM with 40MB/s Program Bandwidth,” IEEE International Solid-State Circuits Conference (ISSCC), pp. 46-48, 2012. D. Takashima, Y. Nagadomi and T. Ozaki, "A 100MHz Ladder FeRAM Design with Capacitance-Coupled-Bitline (CCB) Cell," IEEE Symposium on VLSI Circuits Digest of Technical Papers, pp. 227-228, June 2010. W. Otsuka et al., "A 4Mb conductive-bridge resistive memory with 2.3GB/s read-throughput and 216MB/s program-throughput," 2011 IEEE International Solid-State Circuits Conference, San Francisco, CA, 2011, pp. 210-211. K. Aratani et al., "A Novel Resistance Memory with High Scalability and Nanosecond Switching," 2007 IEEE International Electron Devices Meeting, Washington, DC, 2007, pp. 783-786. K. Rho et al., "23.5 A 4Gb LPDDR2 STT-MRAM with compact 9F2 1T1MTJ cell and hierarchical bitline architecture," 2017 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, 2017, pp. 396-397 J. C. S. Kools, "Exchange-biased spin-valves for magnetic storage," in IEEE Transactions on Magnetics, vol. 32, no. 4, pp. 3165-3184, Jul 1996. S. Tehrani, J. M. Slaughter, E. Chen, M. Durlam, J. Shi and M. DeHerren, "Progress and outlook for MRAM technology," in IEEE Transactions on Magnetics, vol. 35, no. 5, pp. 2814-2819, Sep 1999. S. Tehrani et al., "Recent developments in magnetic tunnel junction MRAM," in IEEE Transactions on Magnetics, vol. 36, no. 5, pp. 2752-2757, Sep 2000. K. C. Chun, H. Zhao, J. D. Harms, T. H. Kim, J. P. Wang and C. H. Kim, "A Scaling Roadmap and Performance Evaluation of In-Plane and Perpendicular MTJ Based STT-MRAMs for High-Density Cache Memory," in IEEE Journal of Solid-State Circuits, vol. 48, no. 2, pp. 598-610, Feb. 2013. Alexander Driskill-Smith, "New Samsung Open Innovation Program For STT-MRAM Technology - An Interview With Alexander Driskill-Smith" AZO Materials Sep, 2013 M. Hosomi et al., "A novel nonvolatile memory with spin torque transfer magnetization switching: spin-ram," IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest., Washington, DC, 2005, pp. 459-462. S. W. Chung et al., "4Gbit density STT-MRAM using perpendicular MTJ realized with compact cell structure," 2016 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, 2016, pp. 27.1.1-27.1.4. C. Park et al., "Systematic optimization of 1 Gbit perpendicular magnetic tunnel junction arrays for 28 nm embedded STT-MRAM and beyond," 2015 IEEE International Electron Devices Meeting (IEDM), Washington, DC, 2015, pp. 26.2.1-26.2.4. H. Noguchi et al., "Novel voltage controlled MRAM (VCM) with fast read/write circuits for ultra large last level cache," 2016 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, 2016, pp. 27.5.1-27.5.4. Y. J. Song et al., "Highly functional and reliable 8Mb STT-MRAM embedded in 28nm logic," 2016 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, 2016, pp. 27.2.1-27.2.4. J. M. Slaughter et al., "Technology for reliable spin-torque MRAM products," 2016 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, 2016, pp. 21.5.1-21.5.4. S. Song et al., "CMOS device scaling beyond 100 nm," International Electron Devices Meeting 2000. Technical Digest. IEDM (Cat. No.00CH37138), San Francisco, CA, USA, 2000, pp. 235-238. Jean-Pierre Colinge, Cynthia A. Colinge, “Physics of Semiconductior Devices.” Springer-Verlag, NY, pp. 175-182, 2002. E. Morifuji et al., "A 1.5 V high performance mixed signal integration with indium channel for 130 nm technology node," International Electron Devices Meeting 2000. Technical Digest. IEDM (Cat. No.00CH37138), San Francisco, CA, USA, 2000, pp. 459-462. C. H. Shih, Y. M. Chen and C. Lien, "Effect of insulated shallow extension for the improved short-channel effect of sub-100 nm MOSFET," International Semiconductor Device Research Symposium, pp. 158-159, Dec. 2003. S. Severi et al., "Diffusion-less junctions and super halo profiles for PMOS transistors formed by SPER and FUSI gate in 45 nm physical gate length devices," IEDM Technical Digest. IEEE International Electron Devices Meeting, 2004., 2004, pp. 99-102. M. F. Chang et al., "An offset-tolerant current-sampling-based sense amplifier for Sub-100nA-cell-current nonvolatile memory," 2011 IEEE International Solid-State Circuits Conference, San Francisco, CA, 2011, pp. 206-208. M. Jefremow et al., "Time-differential sense amplifier for sub-80mV bitline voltage embedded STT-MRAM in 40nm CMOS," 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers, San Francisco, CA, 2013, pp. 216-217. N. Verma and A. P. Chandrakasan, "A High-Density 45nm SRAM Using Small-Signal Non-Strobed Regenerative Sensing," 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers, San Francisco, CA, 2008, pp. 380-621. C. C. Lin et al., "7.4 A 256b-wordlength ReRAM-based TCAM with 1ns search-time and 14x improvement in word length-energy efficiency-density product using 2.5T1R cell," 2016 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, 2016, pp. 136-137. M. F. Chang et al., "19.4 embedded 1Mb ReRAM in 28nm CMOS with 0.27-to-1V read using swing-sample-and-couple sense amplifier and self-boost-write-termination scheme," 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC), San Francisco, CA, 2014, pp. 332-333. B. Giridhar, N. Pinckney, D. Sylvester and D. Blaauw, "13.7 A reconfigurable sense amplifier with auto-zero calibration and pre-amplification in 28nm CMOS," 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC), San Francisco, CA, 2014, pp. 242-243. Q. Dong, et al., “A 1Mb embedded NOR flash memory with 39µW program power for mm-scale high-temperature sensor nodes,” IEEE International Solid-State Circuits Conference (ISSCC), pp. 198-199, 2017. T. Yang, K. Li, Y. Chiang, W. Lin, H. Lin and M. Chang, "A 28nm 32Kb embedded 2T2MTJ STT-MRAM macro with 1.3ns read-access time for fast and reliable read applications," 2018 IEEE International Solid - State Circuits Conference - (ISSCC), San Francisco, CA, 2018, pp. 482-484. W. Khwa et al., "A 65nm 4Kb algorithm-dependent computing-in-memory SRAM unit-macro with 2.3ns and 55.8TOPS/W fully parallel product-sum operation for binary DNN edge processors," 2018 IEEE International Solid - State Circuits Conference - (ISSCC), San Francisco, CA, 2018, pp. 496-498. C. Lee, H. Lin, C. Lien, Y. Chih and J. Chang, "A 1.4Mb 40-nm embedded ReRAM macro with 0.07um2 bit cell, 2.7mA/100MHz low-power read and hybrid write verify for high endurance application," 2017 IEEE Asian Solid-State Circuits Conference (A-SSCC), Seoul, 2017, pp. 9-12. L. Zhang, X. Fong, C. Chang, Z. H. Kong and K. Roy, "Highly Reliable Spin-Transfer Torque Magnetic RAM-Based Physical Unclonable Function With Multi-Response-Bits Per Cell," in IEEE Transactions on Information Forensics and Security, vol. 10, no. 8, pp. 1630-1642, Aug. 2015.
|