帳號:guest(18.191.44.3)          離開系統
字體大小: 字級放大   字級縮小   預設字形  

詳目顯示

以作者查詢圖書館館藏以作者查詢臺灣博碩士論文系統以作者查詢全國書目
作者(中文):璩 瑋
作者(外文):Chu, Wei
論文名稱(中文):透過相位差監測系統對延遲鎖相迴路電路進行線上安全檢查
論文名稱(外文):Online Safety Checking for Delay Locked Loops via Phase Error Monitoring
指導教授(中文):黃錫瑜
指導教授(外文):Huang, Shi-Yu
口試委員(中文):周永發
蒯定明
口試委員(外文):Chou, Yung-Fa
Kuai, Ding-Ming
學位類別:碩士
校院名稱:國立清華大學
系所名稱:電機工程學系
學號:106061545
出版年(民國):108
畢業學年度:107
語文別:英文
論文頁數:44
中文關鍵詞:延遲鎖向迴路電路相位差功能性安全游標侈延遲線時間數位轉換器軟錯誤
外文關鍵詞:Delay-Locked LoopPhase ErrorFunctional SafetyVernier Delay LineTime-to-Digital ConverterSoft Error
相關次數:
  • 推薦推薦:0
  • 點閱點閱:661
  • 評分評分:*****
  • 下載下載:20
  • 收藏收藏:0
在現今的車用元件設計中,通常需要透過線上安全檢查才能確保達到高度車輛安全完整性等級(Automotive Safety Integrity Level)。身為本論文的標靶電路,對延遲鎖向迴路電路而言,最重要的安全性指標為:當延遲鎖向迴路電路完成鎖定階段後,〝輸入時間訊號及輸出時間訊號兩者之間的相位誤差〞。在本論文中,我們提出了一個相位差監測系統可以對於延遲鎖向迴路電路的輸入時間訊號及輸出時間訊號兩者之間的相位誤差進行監測,且此系統電路僅使用標準元件所組成實現。我們提出的這個監測系統,可以連續性的監測輸入時間訊號及輸出時間訊號兩者之間的相位誤差,並且在指定的監測周期內記錄下最差情況的相位誤差值。監測結果會顯示出危險的相位誤差值,並且可以發出一個安全性危險警報。我們將此監測系統加到一個延遲鎖向迴路電路上進行實驗,實驗在90奈米製程下進行佈局後模擬,來驗證此監測系統的有效性。實驗模擬結果顯示,此監測系統可以發現在一奈秒內發生的動態功率故障而引起的危險相位誤差。
In today’s automotive ICs, online safety checking is often required in order to achieve a high Automotive Safety Integrity Level (ASIL). For a Delay-Locked Loop (DLL) as the target in this work, the most important safety (or health) indicator is the “phase error between the input clock signal and the output clock signal”. In this paper, we present a phase error monitoring scheme for DLLs, using circuits made of only standard cells. The proposed scheme can monitor the phase error continuously to record its worst-case phase error values during a designated monitoring session. As a result, hazardous phase error glitches can be exposed and a safety hazard alarm can be raised. We have added this monitoring scheme to a Delay Lock Loop to do the implementation in a 90nm CMOS process and post-layout simulation is conducted to verify its effectiveness. Experimental results show that it can help discover hazards induced by dynamic power glitches that occurs within 1ns.
Abstract...........................................................i
摘要..............................................................ii
誌謝.............................................................iii
Content...........................................................iv
List of Figures....................................................v
List of Tables....................................................vi
Chapter 1 Introduction.............................................1
1.1 Introduction...................................................1
1.2 Thesis Organization............................................4
Chapter 2 Preliminaries............................................5
2.1 Architecture and Operations of Delay-Locked Loop...............5
2.2 Performance Specifications of a Delay-Locked Loop..............9
Chapter 3 Proposed Monitoring Scheme for DLL......................11
3.1 Architecture and Function.....................................11
3-2 Vernier Delay Line Pair – Micro-architecture and Circuits.....16
3-3 Worst-Case PE Recorder Circuit................................22
3-4 Thermometer-to-Binary Encoders................................26
Chapter 4 Simulation Results......................................27
4.1 Post-Layout Simulation........................................27
4.2 Histogram of Phase Errors Samples.............................29
4.3 Detection of Power Glitches Induced Safety Hazard.............31
4.4 The Influence of Phase Detector...............................38
Chapter 5 Conclusion..............................................40
References........................................................42
[1]T. H. Kim, R. Persaud, and Chris H. Kim. "Silicon Odometer: An On-Chip Reliability Monitor for Measuring Frequency Degradation of Digital Circuits", IEEE Journal of Solid-State Circuits, Vol. 43, No. 4, pp. 874-880, 2008.
[2]Y. Li, Y. M. Kim, E. Mintarno, D. S. Gardner, and S. Mitra, “Overcoming Early-Life Failure and Aging for Robust Systems,” IEEE Design & Test of Computers, Vol. 26, No. 6, pp. 28-39, 2009.
[3]J. Carretero, X. Vera, P. Chaparro, and J. Abella, “Microarchitectural Online Testing for Failure Detection in Memory Order Buffer”, IEEE Trans. on Computers, Vol. 59, No. 5, pp. 623-637, 2010.
[4]C. Serafy and A. Srivastava, "Online TSV Health Monitoring and Built-In Self-Repair to Overcome Aging", Proc. of IEEE Int'l Symp. on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFTS), pp. 224-229, 2013.
[5]S.-Y. Huang, M.-T. Tsai, H.-X. Li, Z.-F. Zeng, K.-H. (Hans) Tsai, and W-.T. Cheng, "Non-Intrusive On-Line Transition- Time Binning and Timing Failure Threat Detection for Die-to-Die Interconnects," IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Vol. 34, No 12, pp. 2039-2048, Dec. 2015.
[6]G.-H. Lian, S.-Y. Huang, and W.-Y. Chen, "Cloud-Based PVT Monitoring System for IoT Devices," Proc. of IEEE Asian Test Symp. (ATS), pp. 76-81, Nov. 2017.
[7]H. Shaheen, G. Boschi, G. Harutyunyan, and Y. Zorian, “Advanced ECC Solution for Automotive SoCs”, Proc. of IEEE Int’l Symp. on On-Line Testing and Robust System Design (IOLTS), pp. 71-73, 2017.
[8]Y.-H. Tu, K.-H. Cheng, H.-Y. Wei, and H.-Y. Huang, “A Low Jitter Delay-Locked-Loop Applied for DDR4”, Proc. of Design and Diagnostics of Electronic Circuits & Systems (DDECS), pp. 98-101, 2013.
[9]S.-L. Chen, M.-J. Ho, Y.-M. Sun, M.-W. Lin, and J.-C. Lai, “An All-Digital Delay-Locked Loop for High-Speed Memory Interface Applications,” Proc. VLSI Design, Automation and Test (VLSI-DAT), pp. 1-4,2014.
[10]H.-H. Chang and S.-I. Liu, “A Wide Range and Fast-Locking All-Digital Cycle-Controlled Delay-Locked Loop,” IEEE J. Solid-State Circuits, Vol. 40, No. 3, pp. 661-670, Mar. 2005.
[11]Y.-S. Kim, S.-K. Lee, H.-J. Park, and J.-Y. Sim, “A 110 MHz to 1.4GHz Locking 40-Phase All-Digital DLL,” IEEE J. Solid-State Circuits, Vol. 46, No. 2, Feb. 2011.
[12]M.-H. Hsieh, L.-H. Chen, S.-I. Liu, and C. C.-P. Chen, “A 6.7MHz-to-1.24GHz 0.0318 mm2 Fast-Locking All-Digital DLL in 90nm CMOS,” IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, pp. 244-245, 2012.
[13]C.-Y. Yao, Y.-H. Ho, Y.-Y. Chiu, and R.-J. Yang, “Design a SAR-Based All-Digital Delay-Locked Loop With Constant Acquisition Cycles Using a Resettable Delay Line,” IEEE Trans. On Very Large Scale Integration Systems, Vol. 23, No.3, pp. 567-574, 2014.
[14]P.-C. Huang and S.-Y. Huang, "Cell-Based Delay Locked Loop Compiler," Proc. of Int'l SoC Design Conf., pp. 91-92, Oct. 2016.
[15]S. Sunter and A. Roy, “BIST for Phase-Locked Loops in Digital Applications”, Proc. of IEEE Int’l Test Conf., pp. 532-540, 1999.
[16]S. Sunter and A. Roy, “Purely Digital BIST for Any PLL or DLL”, Proc. of IEEE European Test Symp., pp. 185-192, 2007.
[17]J.-J. Huang, J.-L. Huang, “An Infrastructure IP for On-Chip Clock Jitter Measurement”, Proc. of IEEE Int’l Conf. on Computer Design, pp. 186-191, 2004.
[18]J. Carretero, X. Vera, P. Chaparro, and J. Abella, “Microarchitectural Online Testing for Failure Detection in Memory Order Buffer”, IEEE Trans. on Computers, Vol. 59, No. 5, pp. 623-637, 2010.
[19]Y. Li, Y. M. Kim, E. Mintarno, D. S. Gardner, and S. Mitra, “Overcoming Early-Life Failure and Aging for Robust Systems,” IEEE Design & Test of Computers, Vol. 26, No. 6, pp. 28-39, 2009.
[20]T. H. Kim, R. Persaud, and Chris H. Kim. "Silicon Odometer: An On-Chip Reliability Monitor for Measuring Frequency Degradation of Digital Circuits", IEEE Journal of Solid-State Circuits, Vol. 43, No. 4, pp. 874-880, 2008.
[21]W. B. Pierce, “The Vernier Delay Unit”, IEEE Trans. On Nuclear Science, Vol. 32, No. 1, pp.95-99, 1985.
[22]R. Rashidzadeh, M. Ahmadi, and W. C. Miller, “ An All-Digital Self-Calibration Method for a Vernier-Based Time-to- Digital Converter”, IEEE Trans. On Instrumentation and Measurement, Vol. 59, No. 2, pp.463-469,2010.
[23] K. Arshak, O. Abubaker, and E. Jafer, “Design and Simulation Difference Types CMOS Phase Frequency Detector for high speed and low jitter PLL,” IEEE International Caracas Conference on Devices, Circuits and Systems, Vol. 1, pp. 188-191, Nov. 2004.
 
 
 
 
第一頁 上一頁 下一頁 最後一頁 top
* *