帳號:guest(3.144.110.88)          離開系統
字體大小: 字級放大   字級縮小   預設字形  

詳目顯示

以作者查詢圖書館館藏以作者查詢臺灣博碩士論文系統以作者查詢全國書目
作者(中文):黃昱瑋
作者(外文):Huang, Yu-Wei
論文名稱(中文):以模擬設計法進行異質整合小晶片封裝設計與可靠度研究
論文名稱(外文):Study on Design and Reliability Assessment of Heterogeneous Chiplets Packaging Using Design-on-Simulation Technology
指導教授(中文):江國寧
指導教授(外文):Chiang, Kuo-Ning
口試委員(中文):劉德騏
鄭仙志
袁長安
林士傑
口試委員(外文):Liu, De-Shin
Cheng, Hsien-Chie
Yuan, Chang-Ann
Lin, Shih-Chieh
學位類別:博士
校院名稱:國立清華大學
系所名稱:動力機械工程學系
學號:106033852
出版年(民國):112
畢業學年度:111
語文別:英文
論文頁數:159
中文關鍵詞:加速熱循環有限單元法小晶片異質整合疲勞壽命預估熱管理模擬設計法
外文關鍵詞:Accelerated Thermal Cycling(ATC)Finite Element Method(FEM)Chiplets, Heterogeneous Integration(HI)Coffin-MansonLife predictionthermal managementEllison’s equationDesign-on-Simulation Technology
相關次數:
  • 推薦推薦:0
  • 點閱點閱:36
  • 評分評分:*****
  • 下載下載:0
  • 收藏收藏:0
隨著消費者對手持裝置與電子產品在輕薄化、高效能與多功能的需求下,以及半導體製程能力的不斷提高,使得晶片功能日益強大。然而隨著系統單晶片(System-on-Chip, SoC)生產成本越來越高,造成發展面臨瓶頸;反觀從整合度而言,系統級構裝(System-in-Package, SiP)技術隨著整合度的提高,應用範圍也越來越廣大以及挾帶眾多優勢。加上從系統端出發「小晶片」(Chiplet)設計概念的興起,藉由異質整合(Heterogeneous Integration, HI)技術,建立一個小晶片網路,達到結合傳統封裝技術的生產速度與靈活性,以及半導體技術的細間距與高效能等優點,成為異質整合系統構裝技術之目標。
異質整合涵蓋大量元件與複雜的架構,其製作成本、良率與發開時間均極度可觀。在本研究架構中,參考Intel的Lakefield產品架構,提出一具更高整合度之異質整合小晶片系統級構裝架構,為了在產品研發之前,能夠有效的針對結構進行分析、改良與尋找最佳的設計方式,減少試誤法(Try & Error)所造成的資源浪費,採用模擬設計技術(Design-on-Simulation Technology),搭配適當的熱傳與力學理論,以及經驗式來進行分析與設計。
首先,分析該結構的熱循環測試(Thermal Cycling Test, TCT)可靠性弱點。藉由幾何配置與材料搭配,推測錫球是架構中最有可能發生故障的位置。因此本研究首先使用晶圓級晶片尺寸封裝(Wafer Level Chip Scale Package, WLCSP)載具驗證模型的合理性和準確性。並藉由控制焊球的關鍵區域網格尺寸並使用 Coffin-Manson 經驗公式可以成功預測焊球的可靠性壽命。此外,探討剪切鎖定(shear lock)效應導致壽命被低估的問題。根據結果,採用簡化積分方法的 Solid-185 似乎解決了剪切鎖定問題,且因高斯積分點較少,因此模型壽命預估更具有效率。接著,藉由架構逐步演變,探索各構件的幾何對架構壽命的影響,為未來的架構設計改善提供參考。
對於容易造成多尺度問題的中介層與微凸塊層結構,進行等效架構驗證。此外,運算小晶片下方的熱介面材料層為本架構必要設計,可避免錫球接點非預期位置之過早失效。最後,考慮到多數異質整合架構均為非對稱性,若採用整個三維模型進行驗證,計算時間將顯著增加。並且結構設計優化時需要不斷重複模擬驗證,架構元素數量將嚴重影響運算效能。因此,採用全域-局部建模技術,在應力變化較為緩和的區域進行多點約束面設定,可有效減少全模型的元素數量,並且,在本研究中使用了雙層的多點約束面設定,使模型元素數量與運算時間減少至原本的35%與13%,大幅提升設計效率。
本研究旨在建立一個基於理論觀點的驗證程序來評估異構集成架構的散熱與可靠性。透過模擬驗證過程採用循序漸進的方法,對研究結構之散熱特性,以及因熱應力應變引起的疲勞失效進行驗證,包括架構的幾何和配置。並應用於快速估計和改進異質整合小芯片系統級封裝架構的散熱與可靠性性能。
Electronic devices require more efficiency and functionality, so the cost of producing a System on Chip (SoC) is prohibitive. System-in-Package (SiP) technology offers integration capabilities and combining it with Heterogeneous Integration (HI) technology creates small chip networks for fast production speeds and high precision in integrated systems. The "Chiplet" design concept utilizes HI technology for flexible and fast production while maintaining high performance through traditional packaging methods.
Heterogeneous integration covers many components and complex architecture, and its manufacturing cost, yield rate, and development time are incredibly considerable. Based on Intel's Lakefield product architecture, this study proposes a highly integrated chiplets system-level architecture for practical analysis and optimization before product development. By adopting the Design-on-Simulation Methodology, heat transfer and mechanical theories, and empirical methods, the design method reduces the waste of resources caused by trial and error.
Thermal management can verify the relevant thermal radiation and convection coefficients by comparing them with the literature. Moreover, a good heat dissipation analysis is obtained through equivalent model verification and heat dissipation path analysis.
On the other hand, the study analyzes the thermal cycling reliability weakness of the structure, with the solder ball identified as the most likely failure location. Therefore, WLCSP is used to verify the model's accuracy, and the Coffin-Manson empirical formula predicts the reliability life of the solder ball. Next, the shear locking problem is solved using Solid-185, and gradual evolution is used to explore the geometry's influence on the structure's life.
An equivalent architecture check is needed to verify an interposer and micro-bump layer prone to multi-scale problems. In addition, the thermal interface material layer under the computing chiplet is necessary to avoid premature failure of solder ball joints in unintended positions. Moreover, considering the asymmetric architectures, the entire 3D model for validation would significantly increase computation time. Additionally, repeated simulation verification is required for structural optimization, and the number of elements can significantly impact computing performance. Therefore, a double-layer multi-point constraint (MPC) method can reduce the model’s elements effectively. As a result, they are reducing the number of model elements and calculation time to 35% and 13% of the original, significantly improving design efficiency.
This study aims to establish a verification program based on theoretical viewpoints to evaluate the thermal and reliability of heterogeneous integrated architectures. Through the simulation verification process, a step-by-step approach is adopted to verify the heat dissipation characteristics of the research structure, as well as the fatigue failure caused by thermal stress and strain, including the geometry and configuration of the structure. It is also applied to quickly estimate and improve the heat dissipation and reliability performance of heterogeneous integrated chiplet system-in-package architectures.
Abstract ivi
摘要 iv
TABLE OF CONTENTS vi
LIST OF TABLES ix
LIST OF FIGURES xi
CHAPTER 1 1
INTRODUCTION 1
1.1 Motivation of Research 1
1.2 Literature Survey 1
1.2.1 Development status of Heterogeneous Chiplets Packaging 5
1.2.2 Thermal Analysis Based on FE model 13
1.2.3 Interconnections Reliability Prediction 14
1.2.4 Global-Local FEM 14
1.3 Goal and Methodology of Research 20
CHAPTER 2 23
FUNDAMENTAL THEORIES 23
2.1 Solder Joint Reflow Profile Prediction Theory 23
2.2 Fundamental Theory of Heat Transfer 25
2.2.1 Heat Transfer Phenomenon 26
2.2.2 Thermal Analysis of Electronic Packaging 29
2.3 Numerical Methods and Convergence Criteria 32
2.4 Creep mechanism 34
2.4.1 Garofalo-Arrhenius Creep Theory 39
2.4.2 Anand’s Model 43
2.5 Hardening Rule 42
2.5.1 Isotropic Hardening Rule 43
2.5.2 Kinematic Hardening Rule 43
2.5.3 Chaboche Model 44
2.6 Reliability Analysis of Packaging 46
2.6.1 Coffin-Manson Strain Method 47
2.6.2 Modified Energy Density Method 48
2.7 MPC (Multipoint Constraint) Discussion 49
2.7.1 Connecting Different Types of Units 50
2.7.2 Connecting Different Coarse Mesh 52
2.8 Equivalent Architecture Verification Theory 53
2.8.1 Equivalent Mechanical Properties 53
2.8.2 Equivalent Thermal conductivity 55
CHAPTER 3 57
DESIGN OF NOVEL CHIPLET PACKAGING STRUCTURE 57
3.1 Computing System Architecture Operation Mechanism 58
3.2 Introduction to Intel’s Lakefield Architecture 61
3.3 Development of a Heterogeneous Chiplet Packaging Structure 65
CHAPTER 4 75
THERMAL ANALYSIS FOR HETEROGENEOUS CHIPLET PACKAGING 75
4.1 Thermal Transfer Procedure Verification 77
4.2 Effective Model Verification 81
4.3 Heterogeneous Chiplets Packaging Verification 85
CHAPTER 5 97
RELIABILITY ASSESSMENT FOR HETEROGENEOUS CHIPLET PCKAGING 97
5.1 Finite Element Modeling for Mechanical Analysis and Experimental Verification on WLCSP 98
5.1.1 WLCSP Thermal Cycling Test 99
5.1.2 WLCSP Finite Element Model Establish 102
5.1.3 Verification of 2D Model Simulation and Experimental Results 108
5.1.4 Effect of shear lock 108
5.2 Mechanical analysis for heterogeneous chiplets packaging architecture 121
5.2.1 Effective verification 124
5.2.2 Multipoint constraint FEM validation 129
5.2.3 Study of heterogeneous chiplets packaging model and results discussion 133
CHAPTER 6 146
CONCLUSION AND RECOMMENDATIONS 146
6.1 Conclusions 146
6.2 Recommendations for future works 147

[1] A. Ivankovic et al., "Status of the Advanced Packaging Industry 2018," Yole Developpement, June, 2017.
[2] "International Technology Roadmap for Semiconductors (ITRS)," ITRS official website, http://www.itrs2.net/ (accessed July 10, 2020).
[3] D. S. Green, "Common Heterogeneous Integration and Intellectual Property (IP) Reuse Strategies (CHIPS)," DARPA official website, https://www.darpa.mil/." (accessed Sep. 10, 2016).
[4] J. H. Lau, "Recent advances and trends in heterogeneous integrations," Journal of Microelectronics and Electronic Packaging, vol. 16, no. 2, pp. 45-77, 2019.
[5] L. Li et al., "Addressing bandwidth challenges in next generation high performance network systems with 3D IC integration," in: Proceedings of the IEEE 62nd Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 2012, pp. 1040-1046.
[6] N. Khan et al., "Development of 3-D silicon module with TSV for system in packaging," IEEE Transactions on Components and Packaging Technologies, vol. 33, no. 1, pp. 3-9, 2010.
[7] J. Lau et al., "Feasibility study of a 3D IC integration system-in-packaging (SiP) from a 300mm multi-project wafer (MPW)," Journal of Microelectronics and Electronic Packaging, vol. 8, no. 4, pp. 446-454.
[8] C. J. Zhan et al., "Assembly process and reliability assessment of TSV/RDL/IPD interposer with multi-chip-stacking for 3D IC integration SiP," in: Proceedings of the IEEE 62nd Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 2012, pp. 548-554.
[9] J. H. Lau et al., "Low-cost TSH (through-silicon hole) interposers for 3D IC integration," in: Proceedings of the IEEE 64th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 2014, pp. 290-296.
[10] Y. T. Lin et al., "Wafer warpage experiments and simulation for fan-out chip on substrate," in: Proceedings of the IEEE 66th Electronic Components and Technology Conference (ECTC), Las Vegas, NV, USA, 2016, pp. 13-18.
[11] N. C. Chen et al., "A novel system in package with fan-out WLP for high speed SERDES application," in: Proceedings of the IEEE 66th Electronic Components and Technology Conference (ECTC), Las Vegas, NV, USA, 2016, pp. 1495-1501.
[12] D. Yu, "Advanced system integration technology trends," in: Proceedings of the SEMICON Taiwan, Taipei, TW, 2018.
[13] "Chiplet," WikiChip, https://en.wikichip.org/wiki/chiplet (accessed Mar. 27, 2020).
[14] " It’s Time for Disaggregated Silicon," Netronome official website, https://www.netronome.com/blog/its-time-disaggregated-silicon/ (accessed Mar. 12, 2020).
[15] J. Yin et al., "Modular routing design for chiplet-based systems," in: Proceedings of the IEEE 45th International Symposium on Computer Architecture (ISCA), Los Angeles, CA, USA, 2018, pp. 726-738.
[16] J. H. Lau, "Recent advances and trends in advanced packaging," IEEE Transactions on Components, Packaging and Manufacturing Technology, vol. 12, no. 2, pp. 228-252, 2022.
[17] "CoWoS-Base All Programmable-3D-ICFamilies," Xilinx official website, http://press.xilinx.com/2013-10-20-Xilinx-and-TSMCReach-Volume-Production-on-all-28nm-CoWoSbased-All-Programmable-3D-IC-Families (accessed: Oct. 20, 2013).
[18] W. Gomes et al., "8.1 Lakefield and Mobility Compute: A 3D Stacked 10nm and 22FFL Hybrid Processor System in 12× 12mm 2, 1mm Package-on-Package," in: Proceedings of the 2020 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA ,2020, pp. 144-146.
[19] D. Ingerly et al., "Foveros: 3D integration and the use of face-to-face chip stacking for logic devices," in: Proceedings of the 2019 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2019, pp. 19.6.1-19.6.4.
[20] L. Su, "AMD accelerating: The high-performance computing ecosystem, " in: Proceedings of the Keynote Computex, Taipei, Taiwan, May/Jun. 2021.
[21] J. Hruska, "AMD's Lisa Su Confirms Zen 3 Coming in 2020, Talks Challenges in Notebooks," EXTREMETECH, https://www.techbang.com/posts/98127-amd-ryzen-zen3 (accessed. Jan 10, 2020)
[22] M. F. Chen, F. C. Chen, W. C. Chiou, and C. Doug, "System on integrated chips (SoIC (TM) for 3D heterogeneous integration," in: Proceedings of the IEEE 69th Electronic Components and Technology Conference (ECTC), Las Vegas, NV, USA, 2019, pp. 594-599.
[23] S. W. Park, J. M. Kim, H. G. Baik, S. H. Kim, J. K. Hong, and H. S. Chun, "Thermal and electrical performance for wafer level package," in: Proceedings of the 50th Electronic Components and Technology Conference (ECTC), Las Vegas, NV, USA, 2000, pp. 301-310.
[24] W. H. Chen, H. C. Cheng, and H. A. Shen, "An effective methodology for thermal characterization of electronic packaging," IEEE Transactions on Components and Packaging Technologies, vol. 26, no. 1, pp. 222-232, 2003.
[25] K. M. Chen, K. H. Houng, and K. N. Chiang, "Thermal resistance analysis and validation of flip chip PBGA packages," Microelectronics Reliability, vol. 46, no. 2-4, pp. 440-448, 2006.
[26] M. C. Yew, C. F. Yu, M. Tsai, D.C. Hu, W. K. Yang, and K. N. Chiang, "A study of thermal performance for the panel base package (PBP™) technology," in: Proceedings of the IEEE International Conference on Electronic Packaging Technology (ICEPT), Shanghai, China, 2008, pp. 1-5.
[27] X. Xing, Y. Lee, T. Tee, X. Zhang, S. Gao, and W. Kwon, "Thermal modeling and characterization of package with through-silicon-vias (TSV) interposer," in: Proceedings of the IEEE 13th Electronics Packaging Technology Conference (EPTC), Las Vegas, NV, USA ,2011, pp. 548-553.
[28] Y. Pi, N. Wang, J. Chen, M. Miao, Y. Jin, and W. Wang, "Anisotropic equivalent thermal conductivity model for efficient and accurate full-chip-scale numerical simulation of 3D stacked IC," International journal of heat and mass transfer, vol. 120, pp. 361-378, 2018.
[29] H. Ma, D. Yu, and J. Wang, "The development of effective model for thermal conduction analysis for 2.5 D packaging using TSV interposer," Microelectronics Reliability, vol. 54, no. 2, pp. 425-434, 2014.
[30] C. Xiao, H. He, J. Li, S. Cao, and W. Zhu, "An effective and efficient numerical method for thermal management in 3D stacked integrated circuits," Applied Thermal Engineering, vol. 121, pp. 200-209, 2017.
[31] T. Y. Hung, C. J. Huang, C. C. Lee, C. C. Wang, K. C. Lu, and K. N. Chiang, "Investigation of solder crack behavior and fatigue life of the power module on different thermal cycling period," Microelectronic Engineering, vol. 107, pp. 125-129, 2013.
[32] R. Darveaux, K. Banerji, A. Mawer, G. Dody, and J. Lau, " Ball grid array technology," McGraw-Hill, New York, NY, USA, 1995.
[33] R. D. Cook, "Concepts and applications of finite element analysis," John wiley & sons, 2007.
[34] N. C. Lee, "Lead-free soldering and low alpha solders for wafer level interconnects," International Society for Optical Engineering, pp. 541-550, 2000.
[35] J. H. Lau and Y. H. Pao, "Solder joint reliability of BGA, CSP, flip chip, and fine pitch SMT assemblies," McGraw-Hill, New York, NY, USA, 1997.
[36] K. N. Chiang, " Theory and application technology of microelectronic system packaging," Tsanghai, Taichung, Taiwan, 2006.
[37] J. H. Lau, "Flip chip technologie," McGraw-Hill, New York, NY, USA, 1996.
[38] M. K. Yeh, K. N. Chiang, and J. A. Su, "Thermal stress analysis of thermally-enhanced plastic ball grid array electronic packaging," Journal of Mechanics, vol. 18, no. 1, pp. 9-16, 2002.
[39] R. S. Chen, C. H. Huang, and Y. Z. Xie, "Application of optimal design on twin die stacked package by reliability indicator of average SED concept," Journal of Mechanics, vol. 28, no. 1, pp. 135-142, 2012.
[40] H. Solomon, "Fatigue of 60/40 solder," IEEE Transactions on Components, Hybrids, and Manufacturing Technology, vol. 9, no. 4, pp. 423-432, 1986.
[41] R. Satoh, K. Arakawa, M. Harada, and K. Matsui, "Thermal fatigue life of Pb-Sn alloy interconnections," IEEE Transactions on Components, Hybrids, and Manufacturing Technology, vol. 14, no. 1, pp. 224-232, 1991.
[42] J. H. Lau, "Solder joint reliability of flip chip and plastic ball grid array assemblies under thermal, mechanical, and vibrational conditions," IEEE Transactions on Components, Packaging, and Manufacturing Technology: Part B, vol. 19, no. 4, pp. 728-735, 1996.
[43] M. Sakurai, H. Shibuya, and J. Utsunomya, "FEM analysis of flip-chip type BGA," in: Proceedings of the IEEE/CPMT International Electronics Manufacturing Technology Symposium, Berlin, Germany, 1998, pp. 131-136.
[44] J. P. Clech, "BGA, Flip-Chip and CSP solder joint reliability", in Proceedings, MicroMat2000 Conference, Berlin, Germany, 2000, pp. 153-158.
[45] X. Shi, H. Pang, W. Zhou, and Z. Wang, "Low cycle fatigue analysis of temperature and frequency effects in eutectic solder alloy," International Journal of fatigue, vol. 22, no. 3, pp. 217-228, 2000.
[46] K. N. Chiang, Z. N. Liu, and C. T. Peng, "Parametric reliability analysis of no-underfill flip chip package," IEEE Transactions on Components and Packaging Technologies, vol. 24, no. 4, pp. 635-640, 2001.
[47] H. C. Cheng, K. N. Chiang, C. K. Chen, and J. C. Lin, "A study of factors affecting solder joint fatigue life of thermally enhanced ball grid array assemblies," Journal of the Chinese institute of engineers, vol. 24, no. 4, pp. 439-451, 2001.
[48] K. N. Chiang and C. M. Liu, "A comparison of thermal stress/strain behavior of elliptical/round solder pads," Journal of Electronic Packaging, vol. 123, no. 2, pp. 127-131, 2001.
[49] C. T. Peng, C. M. Liu, J. C. Lin, H.-C. Cheng, and K. N. Chiang, "Reliability analysis and design for the fine-pitch flip chip BGA packaging," IEEE Transactions on Components and Packaging Technologies, vol. 27, no. 4, pp. 684-693, 2004.
[50] J. C. Lin, H. C. Cheng, and K. N. Chiang, "Design and analysis of wafer-level CSP with a double-pad structure," IEEE Transactions on Components and Packaging Technologies, vol. 28, no. 1, pp. 117-126, 2005.
[51] C. A. Yuan, C. N. Han, M. C. Yew, C. Y. Chou, and K. N. Chiang, "Design, analysis, and development of novel three-dimensional stacking WLCSP," IEEE transactions on advanced packaging, vol. 28, no. 3, pp. 387-396, 2005.
[52] C. C. Lee and K. N. Chiang, "Design and reliability analysis of a novel wafer level package with stress buffer mechanism," Journal of the Chinese Institute of Engineers, vol. 29, no. 3, pp. 433-443, 2006.
[53] C. M. Liu, C. C. Lee, and K. N. Chiang, "Enhancing the reliability of wafer level packaging by using solder joints layout design," IEEE Transactions on Components and Packaging Technologies, vol. 29, no. 4, pp. 877-885, 2006.
[54] C. M. Liu, C. C. Lee, and K. N. Chiang, "Solder joints layout design and reliability enhancement of wafer level packaging," in: Proceedings of the 6th International Conference on Thermal, Mechanial and Multi-Physics Simulation and Experiments in Micro-Electronics and Micro-Systems, Berlin, Germany, 2005, pp. 234-241.
[55] C. C. Lee, C. C. Lee, H. T. Ku, S. M. Chang, and K. N. Chiang, "Solder joints layout design and reliability enhancements of wafer level packaging using response surface methodology," Microelectronics reliability, vol. 47, no. 2-3, pp. 196-204, 2007.
[56] C. C. Lee, S. M. Chang, and K. N. Chiang, "Sensitivity design of DL-WLCSP using DOE with factorial analysis technology," IEEE transactions on advanced packaging, vol. 30, no. 1, pp. 44-55, 2007.
[57] Y. Huang and K. Chiang, "Study of shear locking effect on 3D solder joint reliability analysis," Journal of Mechanics, vol. 38, pp. 176-184, 2022.
[58] P. H. Wang, Y. W. Huang, and K. N. Chiang, "Reliability Evaluation of Fan-Out Type 3D Packaging-On-Packaging," Micromachines, vol. 12, no. 3, p. 295, 2021.
[59] P. Wang, Y. Lee, C. Lee, H. Chang, and K. Chiang, "Solder Joint Reliability Assessment and Pad Size Studies of FO-WLP with Glass Substrate," IEEE Transactions on Device and Materials Reliability, vol. 21, no. 1, pp. 96-101, 2021.
[60] R. Darveaux and K. Banerji, "Fatigue analysis of flip chip assemblies using thermal stress simulations and a Coffin-Manson relation," in: Proceedings of the 41st Electronic Components & Technology Conference, Atlanta, GA, USA, 1991, pp. 797-805.
[61] R. Darveaux, "Effect of simulation methodology on solder joint crack growth correlation and fatigue life prediction," Journal of Electronic Packaging, vol. 124, no. 3, pp. 147-154, 2002.
[62] J. H. Lau, S. W. R. Lee, and C. Chang, "Solder joint reliability of wafer level chip scale packages (WLCSP): A time-temperature-dependent creep analysis," Journal of Electronic Packaging, vol. 122, no. 4, pp. 311-316, 2000.
[63] X. Shi, Z. Wang, W. Zhou, H. Pang, and Q. Yang, "A new creep constitutive model for eutectic solder alloy," Journal of Electronic Packaging, vol. 124, no. 2, pp. 85-90, 2002.
[64] S. J. Ham and S. B. Lee, "Measurement of creep and relaxation behaviors of wafer-level CSP assembly using moiré interferometry," Journal of Electronic Packaging, vol. 125, no. 2, pp. 282-288, 2003.
[65] A. Yeo, C. Lee, and J. H. Pang, "Flip chip solder joint fatigue life model investigation," in: Proceedings of the 4th Electronics Packaging Technology Conference, Singapore, 2002, pp. 107-114.
[66] L. Zhang, V. Patwardhan, L. Nguyen, N. Kelkar, and R. Sitaraman, "Solder joint reliability model with modified Darveaux's equations for the micro SMD wafer level-chip scale package family," in: Proceedings of the Electronic Components and Technology Conference (ECTC), New Orleans, LA, USA, 2003, pp. 572-577.
[67] L. Zhang, V. Arora, L. Nguyen, and N. Kelkar, "Numerical and experimental analysis of large passivation opening for solder joint reliability improvement of micro SMD packages," Microelectronics Reliability, vol. 44, no. 3, pp. 533-541, 2004.
[68] K. C. Wu, S. Y. Lin, and K. N. Chiang, "Investigation of strain rate effect on lifetime performance of wafer level CSP under different thermal cycling loading rate," in: Proceedings of the 9th International Microsystems, Packaging, Assembly and Circuits Technology Conference (IMPACT), Taipei, Taiwan, 2014, pp. 102-104.
[69] B. Zhao and A. Tay, "Simulation of fatigue life of solder ball joints of an ultra-fine-pitch wafer level package," in: Proceedings of the 5th Electronics Packaging Technology Conference (EPTC), Singapore, 2003, pp. 683-686.
[70] F. Che et al., "Development and assessment of global-local modeling technique used in advanced microelectronic packaging," in: Proceedings of the International Conference on Thermal, Mechanial and Multi-Physics Simulation and Experiments in Micro-Electronics and Micro-Systems, London, UK, 2007, pp. 1-7.
[71] Z. Bin and Q. Baojun, "Effect of voids on the thermal fatigue reliability of PBGA solder joints through submodel technology," in: Proceedings of the 10th Electronics Packaging Technology Conference (EPTC), Singapore, 2008, pp. 704-708.
[72] A. Syed, "Updated life prediction models for solder joints with removal of modeling assumptions and effect of constitutive equations," in: Proceedings of the 7th International Conference on Thermal, Mechanial and Multi-Physics Simulation and Experiments in Micro-Electronics and Micro-Systems, Como, Italy, 2006, pp. 1-9.
[73] S. M. Heinrich, P. E. Liedtke, N. J. Nigro, A. Elkouh, and P. Lee, "Effect of chip and pad geometry on solder joint formation in SMT," Journal of Electronic Packaging, vol. 115, no. 4, pp. 433-439.
[74] H. C. Cheng, R. S. Li, S. C. Lin, W. H. Chen, and K. N. Chiang, "Macroscopic mechanical constitutive characterization of through-silicon-via-based 3-D integration," IEEE Transactions on Components, Packaging and Manufacturing Technology, vol. 6, no. 3, pp. 432-446, 2016.
[75] S. M. Heinrich, M. Schaefer, S. A. Schroeder, and P. S. Lee, "Prediction of solder joint geometries in array-type interconnects," Journal of Electronic Packaging, vol. 118, no. 3, pp. 114-121.
[76] J. H. Lau, "Ball grid array technology," McGraw-Hill, NY, USA, 1995.
[77] K. C. Wu and K. N. Chiang, "Characterization on acceleration-factor equation for packaging-solder joint reliability," Microelectronics Reliability, vol. 65, pp. 167-172, 2016.
[78] H. Cheng, K. Chiang, and M. Lee, "An effective approach for three-dimensional finite element analysis of ball grid array typed packages," Journal of Electronic Packaging, vol. 120, no. 2, pp. 129-134.
[79] Y. Pao et al., "A thermal fatigue model for surface mount leadless chip resistor (LCR) solder joints," Sensing, Modeling and Simulation in Engineering Electronic Packaging, EEP, vol. 17, pp. 1-12, 1996.
[80] J. J. Liu, H. Berg, Y. Wen, S. Mulgaonker, R. Bowlby, and A. Mawer, "Plastic ball grid array (PBGA) overview," Materials chemistry and physics, vol. 40, no. 4, pp. 236-244, 1995.
[81] C. Tsou, T. Chang, K. Wu, P. Wu, and K. Chiang, "Reliability assessment using modified energy based model for WLCSP solder joints," in: Proceedings of the International Conference on Electronics Packaging (ICEP), Yamagata, Japan, 2017, pp. 7-15.
[82] Y. Lin, C. Hsieh, C. Yu, C. Tung, and C. Doug, "Study of the thermo-mechanical behavior of glass interposer for flip chip packaging applications," in: Proceedings of the IEEE 61st Electronic Components and Technology Conference (ECTC), Lake Buena Vista, FL, USA, 2011, pp. 634-638.
[83] K. A. Brakke, "Surface evolver manual," Mathematics Department, Susquehanna Univerisity, Selinsgrove, PA, vol. 17870, no. 2.24, 1995.
[84] L. S. Goldmann, "Geometric optimization of controlled collapse interconnections," IBM Journal of Research and Development, vol. 13, no. 3, pp. 251-265, 1969.
[85] S. M. Heinrich, S. Shakya, Y. Wang, P. S. Lee, and S. A. Schroeder, "Improved yield and performance of ball-grid array packages: Design and processing guidelines for uniform and nonuniform arrays," IEEE Transactions on Components, Packaging, and Manufacturing Technology: Part B, vol. 19, no. 2, pp. 310-319, 1996.
[86] L. F. Coffin., "A Study of the Effects of Cyclic Thermal Stress on a Ductile Metal," Journal of Fluids Engineering, vol. 76, no. 6, pp. 931-949, 1953.
[87] S. S. Manson, “Behavior of materials under conditions of thermal stress,” Heat Transfer Symposium, University of Michigan Engineering Research Institute, Ann Arbor, Mich, pp. 9-75, 1953.
[88] F. Incropera and D. DeWitt, "Fundamentals of Heat and Mass Transfer," Wiley, NY, USA, 2002.
[89] G. N. Ellison, "Thermal analysis of microelectric packages and printed circuit boards using an analytic solution to the heat conduction equation," Advances in Engineering Software, vol. 22, no. 2, pp. 99-111, 1995.
[90] W. N. Findley, J. S. Lai, K. Onaran, "Creep and relaxation of nonlinear viscoelastic materials with an introduction to linear viscoelasticity," North-Holland, 1976.
[91] F. Garofalo, "Fundamentals of creep and creep-rupture in metals," NY, USA, Macmillan Co., London,1965
[92] P. T. Vianco, "Fatigue and creep of lead-free solder alloys: Fundamental properties," ASM International, vol. 67, 2005.
[93] L. Anand, "Constitutive equations for hot-working of metals," International Journal of plasticity, vol. 1, no. 3, pp. 213-231, 1985.
[94] H. J. Frost and M. F. Ashby, "Deformation-mechanism maps for metals and alloys," vol. 9, no. 2, pp. 137-140, 1975.
[95] S. B. Brown, K. H. Kim, and L. Anand, "An internal variable constitutive model for hot working of metals," International journal of plasticity, vol. 5, no. 2, pp. 95-130, 1989.
[96] J. Chakrabarty, " Theory of plasticity," Butterworth-Heinemann, Oxford,UK, 2005.
[97] N. E. Dowling, "Mechanical Behavior of Materials: Engineering Methods for Deformation, Fracture, and Fatigue, Upper Saddle River," Prentice-Hall, NJ, USA, 1999.
[98] G. E. Dieter and D. Bacon, "Mechanical metallurgy," McGraw-hill, NY, USA, 1976.
[99] J. L. Chaboche, "Constitutive equations for cyclic plasticity and cyclic viscoplasticity," International journal of plasticity, vol. 5, no. 3, pp. 247-302, 1989.
[100] J. L. Chaboche, "On some modifications of kinematic hardening to improve the description of ratchetting effects," International journal of plasticity, vol. 7, no. 7, pp. 661-678, 1991.
[101] K. C. Wu, S. Y. Lin, T,. Y. Hung, K. N. Chiang, " eliability Assessment of Packaging Solder Joints Under Different Thermal Cycle Loading Rates," IEEE Transactions on Device and Materials Reliability, vol. 15, no. 3, pp. 437-442, 2015.
[102] C. Y. Tsou, T. N. Chang, K. C. Wu, P. L. Wu and K. N. Chiang, "Reliability assessment using modified energy based model for WLCSP solder joints," in: Proceedings of the International Conference on Electronics Packaging (ICEP), Japan, 2017, pp.7-12
[103] A. P. Boresi, K. Chong, and J. D. Lee, "Elasticity in engineering mechanics," John Wiley & Sons, NJ, USA, 2010.
[104] A. Ismail-Zadeh and P. Tackley, "Computational methods for geodynamics," Cambridge University Press,Oxford, UK, 2010.
[105] R. A. Schapery, "Thermal expansion coefficients of composite materials based on energy principles," Journal of Composite materials, vol. 2, no. 3, pp. 380-404, 1968.
[106] S. Park, H. Lee, B. Sammakia, and K. Raghunathan, "Predictive model for optimized design parameters in flip-chip packages and assemblies," IEEE Transactions on Components and Packaging Technologies, vol. 30, no. 2, pp. 294-301, 2007.
[107] S. Park, B. Sammakia, and K. Raghunathan, "Predictive model for optimized design parameters in flip-chip packages," in: Proceedings of the International Conference on Thermal and Thermomechanical Phenomena In Electronic Systems, LasVegas, NV, USA, 2004, pp.458-464
[108] F. Che, D. Ho, M. Z. Ding, and X. Zhang, "Modeling and design solutions to overcome warpage challenge for fan-out wafer level packaging (FO-WLP) technology," in: Proceedings of the IEEE Electronics Packaging and Technology Conference (EPTC), Singapore, 2015, pp. 1-8.
[109] H. C. Cheng, L. C. Tai, and Y. C. Liu, "Theoretical and Experimental Investigation of Warpage Evolution of Flip Chip Package on Packaging during Fabrication," Materials, vol. 14, no. 17, p. 4816, 2021.
[110] Y. Guo, G. Zhang, and J. Wang, "Warpage simulation and optimization of fan-out wafer level package (FO-WLP) with TMV under different processes," in: Proceedings of the International Conference on Electronic Packaging Technology (ICEPT), Japan, 2018, pp. 297-301.
[111] J. Matindale, "Intel Lakefield: Everything we know so far," DIGITAL TRENDS. https://www.digitaltrends.com/computing/intel-lakefield-news-rumors-architecture/ (accessed. August 16, 2019)
[112] S. Khushu and W. Gomes, "Lakefield: Hybrid cores in 3D Package," in: Proceedings of the Hot Chips Symposium, Cupertino, CA, USA, 2019, pp. 1-20.
[113] H. J. Lee, R. Mahajan, F. Sheikh, R. Nagisetty, and M. Deo, "Multi-die integration using advanced packaging technologies," in: Proceedings of the IEEE Custom Integrated Circuits Conference (CICC), Boston, MA, USA, 2020, pp. 1-7.
[114] M. Mayberry, "The future of compute: How the data transformation is reshaping VLSI," in: Proceedings of the IEEE Symposium on VLSI Technology, 2020, Honolulu, HI, USA, pp. 1-4.
[115] R. Rojas and U. Hashagen, "The first computers: History and architectures," MIT press, USA, 2002.
[116] R. E. Bryant, O. H. David Richard, and O. H. David Richard, "Computer systems: a programmer's perspective," Prentice Hall Upper Saddle River, NJ, USA, 2003.
[117] I. Cutress, "Intel's Process Roadmap to 2025: with 4nm, 3nm, 20A and 18A?!, " AnandTech, https://www.anandtech.com/show/16823/intel-accelerated-offensive-process-roadmap-updates-to-10nm-7nm-4nm-3nm-20a-18a-packaging-foundry-emib-foveros/4. (accessed. July. 26, 2021)
[118] I. Cutress. "Intel's Architecture Day 2018: The Future of Core, Intel GPUs, 10nm, and Hybrid x86," AnandTech, https://www.anandtech.com/show/13699/intel-architecture-day-2018-core-future-hybrid-x86 (accessed. Dec. 12, 2018)
[119] JEDEC, "Low power double data rate 4 (LPDDR4) (JESD 209-4)," ed: August, 2014.
[120] Y. W. Huang, " Apple iPhone XR product analysis," in: Proceedings of the AMPAconference, Hsinchu, Taiwan, 2019.
[121] H. Chang, Y. Shih, Z. Hsiao, C. Chiang, Y. Chen, and K. Chiang, "3D stacked chip technology using bottom-up electroplated TSVs," in: Proceedings of the Electronic Components and Technology Conference, San Diego, CA, USA 2009, pp. 1177-1184.
[122] H. Chang et al., "TSV process using bottom-up Cu electroplating and its reliability test," in: Proceedings of the Electronics System-Integration Technology Conference, Greenwich, UK, 2008, pp. 645-650.
[123] C. Y. Chou, T. Y. Hung, S. Y. Yang, M. C. Yew, W. K. Yang, and K. N. Chiang, "Solder joint and trace line failure simulation and experimental validation of fan-out type wafer level packaging subjected to drop impact," Microelectronics Reliability, vol. 48, no. 8-9, pp. 1149-1154, 2008.
[124] C. Basaran, C. Desai, and T. Kundu, "Thermomechanical finite element analysis of problems in electronic packaging using the disturbed state concept: Part 2—Verification and application," Journal of Electronic Packaging, vol. 120, no. 1, pp. 48-53, 1998.
[125] S. Msolli, A. Baazaoui, J. Alexis, and H. S. Kim, "Identification of damage and fracture modes in power electronic packaging from experimental micro-shear tests and finite element modeling," Engineering Fracture Mechanics, vol. 188, pp. 470-492, 2018.
[126] B. Wunderle, J. Auersperg, V. Grosser, E. Kaulfersch, O. Wittler, and B. Michel, "Modular parametric finite element modelling for reliability-studies in electronic and mems packaging," in: Proceedings of the Symposium on Design, Test, Integration and Packaging of MEMS/MOEMS, Cannes, France, pp. 335-340, 2003.
[127] L. L. Liao, T. Y. Hung, C. K. Liu, W. Li, M. J. Dai, and K. N. Chiang, "Electro-thermal finite element analysis and verification of power module with aluminum wire," Microelectronic Engineering, vol. 120, pp. 114-120, 2014.
[128] Y. Lin, C. Peng, and K. Chiang, "Parametric design and reliability analysis of wire interconnect technology wafer level packaging," Journal of Electronic Packaging, vol. 124, no. 3, pp. 234-239, 2002.
[129] J. L. Gonzalez, J. R. Brescia, T. Zheng, S. K. Rajan, and M. S. Bakir, "A Die-Level, Replaceable Integrated Chiplet (PINCH) Assembly Using a Socketed Platform, Compressible MicroInterconnects, and Self-Alignment," IEEE Transactions on Components, Packaging and Manufacturing Technology, vol. 11, no. 12, pp. 2069-2076, 2021.
[130] J. Kim et al., "Chiplet/Interposer Co-Design for Power Delivery Network Optimization in Heterogeneous 2.5-D ICs," IEEE Transactions on Components, Packaging and Manufacturing Technology, vol. 11, no. 12, pp. 2148-2157, 2021.
[131] G. Krishnan et al., "SIAM: Chiplet-based Scalable In-Memory Acceleration with Mesh for Deep Neural Networks," ACM Transactions on Embedded Computing Systems (TECS), vol. 20, no. 5s, pp. 1-24, 2021.
[132] K. C. Wu, S. Y. Lin, T. Y. Hung, and K. N. Chiang, "Reliability assessment of packaging solder joints under different thermal cycle loading rates," IEEE Transactions on Device and Materials Reliability, vol. 15, no. 3, pp. 437-442, 2015.
[133] M. C. Hsieh and S. L. Tzeng, "Solder joint fatigue life prediction in large size and low cost wafer-level chip scale packages," in: Proceedings of the International Conference on Electronic Packaging Technology, Chengdu, China, pp. 496-501, 2014.
[134] M. C. Hsieh, "Modeling correlation for solder joint fatigue life estimation in wafer-level chip scale packages," in: Proceedings of the International Microsystems, Packaging, Assembly and Circuits Technology Conference (IMPACT), Taipei, Taiwan 2015, pp. 65-68.
[135] B. Rogers and C. Scanlan, "Improving WLCSP reliability through solder joint geometry optimization," International Symposium on Microelectronics, vol. 41, no. 1, pp. 14-17, 2013.
[136] K. N. Chiang, W. H. Chen, and H. C. Cheng, "Large-scale three-dimensional area array electronic packaging analysis," CMSE- Computer Modeling and Simulation in Engineering, vol. 4, no. 1, pp. 4-11, 1999.
[137] P. Wu, P. Wang, and K. Chiang, "Empirical solutions and reliability assessment of thermal induced creep failure for wafer level packaging," IEEE Transactions on Device and Materials Reliability, vol. 19, no. 1, pp. 126-132, 2018.
[138] X. Yanjun, W. Liquan, W. Fengshun, X. Weisheng, and L. Hui, "Effect of interface structure on fatigue life under thermal cycle with SAC305 solder joints," in: Proceedings of the International Conference on Electronic Packaging Technology, Dalian, China, 2013, pp. 959-964.
[139] Y. Lin, P. Tang, and K. Chiang, "Parametric Design and Reliability Analysis of WIT Wafer Level Packaging," in: Proceedings of the ASME International Mechanical Engineering Congress and Exposition, Orlando, Florida, USA , pp. 69-78, 2021.

(此全文未開放授權)
電子全文
摘要
 
 
 
 
第一頁 上一頁 下一頁 最後一頁 top
* *