|
[1] M.-C. Kim and I. L. Markov, “Complx: A competitive primal-dual lagrange optimization for global placement,” in Design Automation Conference ’12, pp. 747–752. [2] M.-K. Hsu and Y.-W. Chang, “Unified analytical global placement for large-scale mixed-size circuit designs,” in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems ’12, pp. 1366–1378. [3] X. He, T. Huang, W.-K. Chow, J. Kuang, K.-C. Lam, W. Cai, and E. F. Y. Young, “Ripple 2.0: High quality routability-driven placement via global router integration,” in Design Automation Conference ’13, pp. 1–6. [4] T. Lin, C. Chu, and G. Wu, “Polar 3.0: An ultrafast global placement engine,” in IEEE/ACM International Conference on Computer-Aided Design ’15, pp. 520–527. [5] N. Viswanathan, M. Pan, and C. Chu, “Fastplace 3.0: A fast multilevel quadratic placement algorithm with placement congestion control,” in Asia and South Pacific Design Automation Conference ’07, pp. 135–140. [6] M.-C. Kim, D.-J. Lee, and I. L. Markov, “Simpl: An effective placement algorithm,” in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems ’12, pp. 50–60. [7] M.-C. Kim, N. Viswanathan, C. J. Alpert, I. L. Markov, and S. Ramji, “Maple: multilevel adaptive placement for mixed-size designs,” in International symposium on Physical design ’12, pp. 193–200. [8] P. Spindler, U. S. Chou, and F. M. Johannes, “Abacus: fast legalization of standard cell circuits with minimal movement,” in International symposium on Physical design ’08, pp. 47–53. [9] C.-Y. Hung, P.-Y. Chou, and W.-K. Mak, “Mixed-cell-height standard cell placement legalization,” in Great Lakes Symposium on VLSI ’17, pp. 149–154. [10] U. Brenner, “Vlsi legalization with minimum perturbation by iterative augmentation,” in Design, Automation and Test in Europe ’12, pp. 1385–1390. [11] C.-H. Wang, Y.-Y. Wu, J. Chen, Y.-W. Chang, S.-Y. Kuo, W. Zhu, and G. Fan, “An effective legalization algorithm for mixed-cell-height standard cells,” in Asia and South Pacific Design Automation Conference ’17, pp. 450–455. [12] K. Doll, Y.-Y. Wu, F. M. Johannes, and K. J. Antreich, “Iterative placement improvement by network flow methods,” in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems ’94, pp. 1189–1200. [13] S. N. Adya and I. L. Markov, “Consistent placement of macro-blocks using floorplanning and standard-cell placement,” in International symposium on Physical design ’02, pp. 12–17. [14] A. Agnihotri, M. C. Yildiz, A. Khatkhate, A. Mathur, S. Ono, and P. H. Madden, “Fractional cut: Improved recursive bisection placement,” in IEEE/ACM International Conference on Computer-Aided Design ’03, pp. 307–310. [15] A. E. Caldwell, A. B. Kahng, and I. L. Markov, “Optimal partitioners and endcase placers for standard-cell layout,” in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems ’00, pp. 1304–1313. [16] S.-W. Hur and J. Lillis, “Mongrel: Hybrid techniques for standard cell placement,” in IEEE/ACM International Conference on Computer-Aided Design ’00, pp. 165–170. [17] M. Pan, N. Viswanathan, and C. Chu, “An efficient and effective detailed placement algorithm,” in IEEE/ACM International Conference on Computer-Aided Design’05, pp. 45–58. [18] S. Li and C.-K. Koh, “Mixed integer programming models for detailed placement,” in International symposium on Physical design ’12, pp. 87–94. [19] W.-H. Liu, C.-K. Koh, and Y.-L. Li, “Optimization of placement solutions for routability,” in Design Automation Conference ’13, pp. 153:1–153:9. [20] W.-K. Chow, J. Kuang, X. He, W. Cai, and E. F. Young, “Cell density-driven detailed placement with displacement constraint„” in ACM on International Symposium on Physical Design ’14, pp. 3–10. [21] G. Wu and C. Chu, “Detailed placement algorithm for vlsi design with doublerow height standard cells,” in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems ’15, pp. 1569–1573. [22] Y. Lin, B. Yu, X. Xu, J.-R. Gao, N. Viswanathan, W.-H. Liu, Z. Li, C. J. Alpert, and D. Z. Pan, “Mrdp: Multiple-row detailed placement of heterogeneous-sized cells for advanced nodes,” in IEEE/ACM International Conference on Computer-Aided Design ’16, pp. 1–8. [23] Z.-W. Lin and Y.-W. Chang, “Detailed placement for two-dimensional directed self-assembly technology,” in Design Automation Conference ’17. [24] Y. Ding, C. Chu, and W.-K. Mak, “Pin accessibility-driven detailed placement refinement,” in ACM on International Symposium on Physical Design ’17, pp. 133–140. [25] Y. Du and M. D. F. Wong, “Optimization of standard cell based detailed placement for 16 nm finfet process,” in Design, Automation and Test in Europe ’14, pp. 357:1–357:6. [26] K. Han, A. B. Kahng, and H. Lee, “Scalable detailed placement legalization for complex sub-14nm constraints,” in IEEE/ACM International Conference on Computer-Aided Design ’15, pp. 867–873. [27] H. Tian, Y. Du, H. Zhang, Z. Xiao, and M. D. Wong, “Triple patterning aware detailed placement with constrained pattern assignment,” in IEEE/ACM International Conference on Computer-Aided Design ’14, pp. 116–123. [28] B. Yu, X. Xu, J.-R. Gao, Y. Lin, Z. Li, C. J. Alpert, and D. Z. Pan, “Methodology for standard cell compliance and detailed placement for triple patterning lithography,” in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems ’15, pp. 726–739. [29] J. Kuang, W.-K. Chow, and E. F. Young, “Triple patterning lithography aware optimization for standard cell based design,” in IEEE/ACM International Conference on Computer-Aided Design ’14, pp. 108–115. [30] Y. Lin, B. Yu, B. Xu, and D. Z. Pan, “Triple patterning aware detailed placement toward zero cross-row middle-of-line conflict,” in IEEE/ACM International Conference on Computer-Aided Design ’15, pp. 396–403. [31] S.-I. Lei, W.-K. Mak, and C. Chu, “Minimum implant area-aware placement and threshold voltage refinement,” in Asia and South Pacific Design Automation Conference ’16, pp. 192–197. [32] K.-H. Tseng, Y.-W. Chang, and C. C. C. Liu, “Minimum-implant-areaaware detailed placement with spacing constraints,” in Design Automation Conference ’16, pp. 84:1–84:6. [33] P. Gupta, A. B. Kahng, and C.-H. Park, “Detailed placement for improved depth of focus and cd constrol,” in Asia and South Pacific Design Automation Conference ’05, pp. 343–348. [34] C. Han, K. Han, A. B. Kahng, H. Lee, L. Wang, and B. Xu, “Optimal multi-row detailed placement for yield and model-hardware correlation improvements in sub-10nm vlsi,” in IEEE/ACM International Conference on Computer-Aided Design ’17, pp. 667–674. [35] B. Winefeld and S. Jilla, “Understanding physical design constraints in the 10nm era,” white paper, MENTOR GRAPHICS. [36] I. S. Bustany, D. Chinnery, J. R. Shinnerl, and V. Yutsi, “ISPD 2015 benchmarks with fence regions and routing blockages for detailed-routing-driven placement,” in International Symposium on Physical Design ’15, pp. 157–164. [37] V. Yutsis, I. S. Bustany, D. Chinnery, J. R. Shinnerl, and W.-H. Liu, “ISPD 2014 benchmarks with sub-45nm technology rules for detailed-routing-driven placement,” in International Symposium on Physical Design ’14, pp. 161–168. [38] S.-W. Hur and J. Lillis, “Relaxation and clustering in a local search framework: Application to linear placement,” in Design Automation Conference ’99, pp. 360–366. [39] A. B. Kahng, P. Tucker, and A. Zelikovsky, “Optimization of linear placements for wirelength minimization with free sites,” in Asia and South Pacific Design Automation Conference ’99, pp. 241–244. [40] “Gurobi Optimization.” http://www.gurobi.com/. [41] “OpenCores.” https://opencores.org/. [42] “Synopsys Design Compiler Graphical.” https://www.synopsys.com/ implementation-and-signoff/rtl-synthesis-test/design-compiler-graphical.html. [43] “Cadence Encounter Digital Implementation.” https://www.cadence.com/content/cadence-www/global/en_US/home/training/all-courses/82160.html. [44] “Si2 OpenAccess.” http://projects.si2.org/oac_index.php.
|