|
[1] K. Itoh et al., “VLSI Memory Chip Design”, Springer-Verlag, pp. 1-46, 2001. [2] ITRS, “2001 Technology Roadmap For Semiconductors,” IEEE Computer, vol. 35, issue 1, pp. 42–53, Jan. 2002. [3] F. Menichelli et al., “Static Minimization of Total Energy Consumption in Memory Subsystem for Scratchpad-Based Systems-on-Chips,” IEEE Transactions on Very Large Scale Integration Systems, vol. 17, issue 2, pp. 161-171, Jan. 2009. [4] M. Kang et al., “Energy-efficient and high throughput sparse distributed memory architecture,” IEEE International Symposium on Circuits and Systems (ISCAS), pp. 2505-2508, 2015. [5] S. Jeloka et al., “A 28 nm Configurable Memory (TCAM/BCAM/SRAM) Using Push-Rule 6T Bit Cell Enabling Logic-in-Memory,” IEEE Journal of Solid-State Circuits (JSSC), vol. 51, no. 4, pp. 1009-1021, Apr. 2016. [6] A. G. Hanlon et al., “Content-Addressable and Associative Memory Systems a Survey,” IEEE Transactions on Electronic Computers, vol. EC-15, no.4, pp.509-521, Aug. 1966. [7] C. C. Wang et al., “An Adaptively Dividable Dual-Port BiTCAM for Virus-Detection Processors in Mobile Devices,” IEEE International Solid-State Circuits Conference (ISSCC), pp.390-622, Feb. 2008. [8] J. Li et al., “1 Mb 0.41 µm² 2T-2R Cell Nonvolatile TCAM With Two-Bit Encoding and Clocked Self-Referenced Sensing,” IEEE Journal of Solid-State Circuits (JSSC), vol. 49, Issue 4, pp. 896-907, Apr. 2014. [9] M. F. Chang et al., “A 3T1R Nonvolatile TCAM Using MLC ReRAM with Sub-1ns Search Time,” IEEE International Solid-State Circuits Conference (ISSCC), pp. 1-3, Feb. 2015. [10] D. Smith et al., “A 3.6ns 1Kb ECL I/O BiCMOS U.V. EPROM,” IEEE International Symposium on Circuits and Systems (ISCAS), vol. 3, pp. 1987-1990, May. 1990. [11] C. Kuo et al., “A 512-kb flash EEPROM embedded in a 32-b microcontroller,” IEEE Journal of Solid-State Circuits (JSSC), vol. 27, Issue 4, pp. 574-582, Apr. 1992. [12] S. H. Kulkarni et al., “A 4 kb Metal-Fuse OTP-ROM Macro Featuring a 2 V Programmable 1.37 μm2 1T1R Bit Cell in 32 nm High-k Metal-Gate CMOS,” IEEE Journal of Solid-State Circuits (JSSC), vol. 45, Issue 4, pp. 863-868, Apr. 2010. [13] Y. H. Tsai et al., “45nm Gateless Anti-Fuse Cell with CMOS Fully Compatible Process,” IEEE International Electron Devices Meeting (IEDM), pp. 95-98, Dec. 2007. [14] Webfeet Inc., “Semiconductor industry outlook,” Non-Volatile Memory Conference, 2002. [15] S. L. Min et al., “Current trends in flash memory technology,” IEEE Asia and South Pacific Conference on Design Automation, pp. 24-27, Jan. 2006. [16] F. Masuoka et al., “New ultra high density EPROM and flash EEPROM with NAND structure cell,” IEEE International Electron Devices Meeting (IEDM), vol. 33, pp. 552-555, 1987. [17] A. Bergemont et al., “NOR virtual ground (NVG)-a new scaling concept for very high density flash EEPROM and its implementation in a 0.5 um process,” IEEE International Electron Devices Meeting (IEDM), pp. 15-18, Dec. 1993. [18] D. Kuzum et al., “Nanoelectronic programmable synapses based on phase change materials for brain-inspired computing,” Nano Letters 12 (5), 2179-2186, 2012. [19] B. Chen et al., “Efficient in-memory computing architecture based on crossbar arrays,” IEEE International Electron Devices Meeting (IEDM), pp. 17.5.1-17.5.4, 2015. [20] S. Li et al., “Pinatubo: A processing-in-memory architecture for bulk bitwise operations in emerging non-volatile memories,” ACM/EDAC/IEEE Design Automation Conference (DAC), pp. 1-6, 2016. [21] Q. Dong et al., “A 0.3V VDDmin 4+2T SRAM for searching and in-memory computing using 55nm DDC technology,” IEEE Symposium on VLSI Circuits, pp. C160-C161, 2017. [22] F. Su et al., “A 462GOPs/J RRAM-based nonvolatile intelligent processor for energy harvesting IoE system featuring nonvolatile logics and processing-in-memory,” IEEE Symposium on VLSI Circuits, pp. C260-C261, 2017. [23] Y. H. Tseng et al., “High density and ultra small cell size of Contact ReRAM (CR-RAM) in 90nm CMOS logic technology and circuits,” IEEE International Electron Devices Meeting (IEDM), pp. 1-4, Dec. 2009. [24] C. H. Ho et al., “A Highly Reliable Self-Aligned Graded Oxide WOx Resistance Memory: Conduction Mechanisms and Reliability,” IEEE Symposium on VLSI Technology, pp. 228-229, Jun. 2007. [25] M. J. Lee et al., “2-stack 1D-1R Cross-point Structure with Oxide Diodes as Switch Elements for High Density Resistance RAM Applications,” IEEE International Electron Devices Meeting (IEDM), pp. 771-774, Dec. 2007. [26] H. Y. Lee et al., “Low power and high speed bipolar switching with a thin reactive Ti buffer layer in robust HfO2 based RRAM,” IEEE International Electron Devices Meeting (IEDM), pp. 1-4, Dec. 2008. [27] B. Gao et al., “Oxide-based RRAM switching mechanism: A new ion-transport-recombination model,” IEEE International Electron Devices Meeting (IEDM), pp. 1-4, Dec. 2008. [28] C. H. Wang et al., “Three-dimensional 4F2 ReRAM cell with CMOS logic compatible process,” IEEE International Electron Devices Meeting (IEDM), pp. 29.6.1-29.6.4, Dec. 2010. [29] Y. S. Chen et al., “Highly scalable hafnium oxide memory with improvements of resistive distribution and read disturb immunity,” IEEE International Electron Devices Meeting (IEDM), pp. 1-4, Dec. 2009. [30] G. Bersuker et al., “Metal oxide RRAM switching mechanism based on conductive filament microscopic properties,” IEEE International Electron Devices Meeting (IEDM), pp. 19.6.1-19.6.4, Dec. 2010. [31] C. Cagli et al., “Evidence for threshold switching in the set process of NiO-based RRAM and physical modeling for set, reset, retention and disturb prediction,” IEEE International Electron Devices Meeting (IEDM), pp. 1-4, Dec. 2008. [32] J. Lee et al., “Diode-less nano-scale ZrOx/HfOx RRAM device with excellent switching uniformity and reliability for high-density cross-point memory applications,” IEEE International Electron Devices Meeting (IEDM), pp. 19.5.1-19.5.4, Dec. 2010. [33] B. Lee et al., “NiO resistance change memory with a novel structure for 3D integration and improved confinement of conduction path,” IEEE Symposium on VLSI Technology, pp. 28-29, Jun. 2009. [34] K. Aratani et al., “A Novel Resistance Memory with High Scalability and Nanosecond Switching,” IEEE International Electron Devices Meeting (IEDM), pp. 10-12, Dec. 2007. [35] M. F. Chang et al., “An Offset-Tolerant Fast-Random-Read Current-Sampling-Based Sense Amplifier for Small-Cell-Current Nonvolatile Memory,” IEEE Journal of Solid-State Circuits (JSSC), vol. 48, no. 3, pp. 864-877, Mar. 2013. [36] M. F. Chang et al., “A 28nm 256kb 6T-SRAM with 280mV improvement in VMIN using a dual-split-control assist scheme,” IEEE International Solid-State Circuits Conference (ISSCC), pp. 314-315, 2015. [37] H. Noguchi et al., "Novel voltage controlled MRAM (VCM) with fast read/write circuits for ultra large last level cache," 2016 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, 2016, pp. 27.5.1-27.5.4. [38] Y. J. Song et al., "Highly functional and reliable 8Mb STT-MRAM embedded in 28nm logic," 2016 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, 2016, pp. 27.2.1-27.2.4. [39] J. M. Slaughter et al., "Technology for reliable spin-torque MRAM products," 2016 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, 2016, pp. 21.5.1-21.5.4. [40] S. Song et al., "CMOS device scaling beyond 100 nm," International Electron Devices Meeting 2000. Technical Digest. IEDM (Cat. No.00CH37138), San Francisco, CA, USA, 2000, pp. 235-238. [41] Jean-Pierre Colinge, Cynthia A. Colinge, “Physics of Semiconductior Devices.” Springer-Verlag, NY, pp. 175-182, 2002. [42] E. Morifuji et al., "A 1.5 V high performance mixed signal integration with indium channel for 130 nm technology node," International Electron Devices Meeting 2000. Technical Digest. IEDM (Cat. No.00CH37138), San Francisco, CA, USA, 2000, pp. 459-462. [43] C. H. Shih, Y. M. Chen and C. Lien, "Effect of insulated shallow extension for the improved short-channel effect of sub-100 nm MOSFET," International Semiconductor Device Research Symposium, pp. 158-159, Dec. 2003. [44] S. Severi et al., "Diffusion-less junctions and super halo profiles for PMOS transistors formed by SPER and FUSI gate in 45 nm physical gate length devices," IEDM Technical Digest. IEEE International Electron Devices Meeting, 2004., 2004, pp. 99-102. [45] M. F. Chang et al., "An offset-tolerant current-sampling-based sense amplifier for Sub-100nA-cell-current nonvolatile memory," 2011 IEEE International Solid-State Circuits Conference, San Francisco, CA, 2011, pp. 206-208. [46] M. Jefremow et al., "Time-differential sense amplifier for sub-80mV bitline voltage embedded STT-MRAM in 40nm CMOS," 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers, San Francisco, CA, 2013, pp. 216-217. [47] N. Verma and A. P. Chandrakasan, "A High-Density 45nm SRAM Using Small-Signal Non-Strobed Regenerative Sensing," 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers, San Francisco, CA, 2008, pp. 380-621. [48] C. C. Lin et al., "7.4 A 256b-wordlength ReRAM-based TCAM with 1ns search-time and 14?? improvement in word length-energy efficiency-density product using 2.5T1R cell," 2016 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, 2016, pp. 136-137. [49] M. F. Chang et al., "19.4 embedded 1Mb ReRAM in 28nm CMOS with 0.27-to-1V read using swing-sample-and-couple sense amplifier and self-boost-write-termination scheme," 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC), San Francisco, CA, 2014, pp. 332-333. [50] B. Giridhar, N. Pinckney, D. Sylvester and D. Blaauw, "13.7 A reconfigurable sense amplifier with auto-zero calibration and pre-amplification in 28nm CMOS," 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC), San Francisco, CA, 2014, pp. 242-243.
|