帳號:guest(3.141.197.251)          離開系統
字體大小: 字級放大   字級縮小   預設字形  

詳目顯示

以作者查詢圖書館館藏以作者查詢臺灣博碩士論文系統以作者查詢全國書目
作者(中文):許孟尹
作者(外文):Hsu, Meng-Yin
論文名稱(中文):相容於邏輯製程下結合淺溝槽絕緣電阻式隨機存取記憶體之非揮發性靜態隨機存取記憶體
論文名稱(外文):Shallow Trench Isolation Sidewall edge Resistive Random Access Memory Integrated Nonvolatile Static Random Access Memory
指導教授(中文):金雅琴
指導教授(外文):King, Ya-Chin
口試委員(中文):林崇榮
蔡銘進
口試委員(外文):Lin, Chrong Jung
Tsai, Ming-Jinn
學位類別:碩士
校院名稱:國立清華大學
系所名稱:電子工程研究所
學號:104063521
出版年(民國):106
畢業學年度:105
語文別:中文
論文頁數:70
中文關鍵詞:半導體記憶體靜態隨機存取記憶體電阻式記憶體邏輯相容
外文關鍵詞:Semiconductor MemorySRAMRRAMLogic Compatible
相關次數:
  • 推薦推薦:0
  • 點閱點閱:444
  • 評分評分:*****
  • 下載下載:0
  • 收藏收藏:0
近年來,因應可攜式消費性電子產品如智慧型手機、穿戴式裝置、平板電腦等的普及以及物聯網的需求,眾多著重於低功耗之靜態隨機存取記憶體(Static Random Access Memory, SRAM)陸續被開發。然而隨著互補式金氧半場效電晶體(CMOS)製程微縮至奈米量級,相對應之漏電流(Off-state leakage)隨之大幅上升,導致揮發性記憶體(Volatile)之靜態功耗大幅上升而成為奈米世代靜態隨機存取記憶體之主要挑戰。
近年來在傳統靜態隨機存取記憶體中,多以胞架構以及操作技巧為達到最低化功耗,其中一種代表性的方法為利用與非揮發性(Non-volatile)記憶元件如電阻式隨機存取記憶體(Resistive Random Access Memory, RRAM)以及磁阻式隨機存取記憶體(Magnetic Random Access Memory, MRAM)做結合以達到以零功耗保存資料,並同時仍保有快速獲取資料的固有特性。然而將非揮發性記憶元件新增至邏輯靜態隨機存取記憶體陣列中普遍需要額外的製程步驟或光罩,導致製程上的複雜度以及應用的彈性限制,另一方面在後段製程為主的RRAM以及MRAM需要由多層穿孔以及金屬層所組成的大型連接橋連接至SRAM,在SRAM資料儲存點產生了額外的寄生電容進而影響獲取資料的速度。
本論文首次提出一種結合淺溝槽電阻式隨機存取記憶體之新型非揮發性靜態隨機存取記憶體,相容於互補式金氧半場效電晶體邏輯製程且不需要額外光罩或特殊製程步驟,並藉由特殊之自我屏蔽資料儲存機制來達成低功耗以及快速操作的需求。藉由結合兩個淺溝槽電阻式隨機存取記憶體內嵌於SRAM結構中,資料可經由交叉耦合揮發性架構快速寫入或讀取,並可以透過自我屏蔽機制將資料非揮發式儲存於電阻式儲存點中,進而在保存資料的同時達到零靜態功耗。
In recent years, various low-power static random access memories have been developed for meeting the need in computing systems on portable devices and IOT applications. As CMOS technology scales down to nano-meter regime, the off-state leakage current increases drastically, which leads to worsen static power consumption for volatile memory modules. The static power consumption raised by the leakage current in nano-scaled transistors has become one of the key challenges for the advancement of low power SRAMs. Over the years, different cell structures or operation techniques have been proposed for minimizing power consumption in SRAMs. Some of the newly proposed cells incorporate non-volatile storage elements, such as RRAMs and MRAMs, to achieve zero-holding power while maintaining low power and fast accessing speed in processing volatile data. However adding non-volatile storage elements onto logic based SRAM arrays generally requires additional layers and/or process to the standard logic platforms. This will unavoidably increase process complexity to their development flexibilities. In addition, these back-end based RRAMs and MRAMs require large connecting structure composed of multi-stack of vias and metals to the SRAM cells. These bridging structures increase parasitic capacitance to the SRAM data storage node, affecting the accessing speed of these non-volatile SRAM cells. In this work, a new zero static-power 4T nv-SRAM with STI-sidewall RRAMs located next to the floating storage nodes of 4T SRAM has been firstly proposed and demonstrated. This 4T2R nv-SRAM features non-volatile data storage, zero holding power and fast accessing speed.
摘要 i
Abstract ii
致謝 iii
內文目錄 iv
附圖目錄 vii
附表目錄 ix
第一章 序論 1
1.1 前言 1
1.2 揮發性記憶體介紹及微縮挑戰 2
1.3 論文大綱 3
第二章 電阻式記憶體及靜態記憶體文獻回顧 9
2.1 邏輯相容內嵌式電阻式記憶體介紹 9
(a) 基本操作特性 9
(b) RRAM Model 10
(c) 邏輯相容內嵌式電阻式記憶體技術比較 11
2.2 靜態隨機存取記憶體介紹 12
(a) 靜態隨機存取記憶體 12
(b) 非揮發性靜態隨機存取記憶體介紹 12
(c) 非揮發性靜態隨機存取記憶體技術比較 13
2.3 小結 13
第三章 淺溝槽絕緣電阻式記憶體(STIRRAM) 25
3.1 淺溝槽絕緣結構簡介 25
3.2 淺溝槽絕緣電阻式記憶體製程流程 26
3.3 元件特性以及可靠度分析 27
(a) 直流與交流操作下之特性 27
(b) 可靠度量測與分析 28
3.4 小結 28
第四章 結合淺溝槽絕緣電阻式隨機存取記憶體之非揮發性靜態隨機存取記憶體 40
4.1 淺溝槽非揮發性靜態隨機存取記憶體概念 40
(a) Nv-SRAM Cell架構 40
(b) 可調變字元線電壓之初始化 41
4.2 非揮發性資料儲存 41
(a) 自我屏蔽寫入機制 41
(b) 非揮發性資料自我復原行為 42
(c) 靜態雜訊邊限及動態特性分析 42
4.3 個體變異度對靜態雜訊邊限之蒙地卡羅分析 43
(a) 個體初始化後之電阻變異 43
(b) 基於隨機電報雜訊之電阻變異 43
(c) 基於製程上之臨界電壓變異 44
4.4 非揮發-邏輯連接橋之寄生電容效應分析 45
(a) 儲存點之寄生電容比較 45
(b) 寄生電容對動態操作速度之影響 45
4.5 小結 46
第五章 總結 64
參考文獻 65

[1] K. Khare, R. Kar, D. Mandal, and S.P. Ghoshal, “Analysis of Leakage Current and Leakage Power Reduction during Write operation in CMOS SRAM Cell,” in ICCSP, 2014, pp. 523-527.
[2] S. Singhal, N. Gaur, A. Mehra, and P. Kumar, “Analysis and comparison of leakage power reduction techniques in CMOS circuits,” in SPIN, 2015, pp. 936-944.
[3] Y. Wang, J.H. Xiang, X. L. Chen, T. Yang, N. Yan, and H. Min, ”A fully Logic CMOS Compatible Non-Volatile Memory for Low Power IoT Applications,” in IoT, 2015, pp. 98-103.
[4] H. N. Patel, F. B. Yahya, and B. H. Calhoun, “Optimizing SRAM bitcell and energy for IoT applications,” in 17thISQED, 2016, pp. 12-17.
[5] N. Gupta, A. Makosiej, A. Vladimirescu, A. Amara, and C. Anghel, “Ultra-compact SRAM design using TFETs for low power low voltage applications,” in ISCAS, 2016, pp. 594-597.
[6] P. Upadhyay, R. Mehra, and N. Thakur, “Low power design of an SRAM cell for portable devices,” in ICCCT, 2010, pp. 255-259.
[7] H. Morimura, and N. Shibata, “A 1-V 1-Mb SRAM for portable equipment,” in ISLPED, 1996, pp. 61-66.
[8] D. Oh, S. Chang, C. Madden, J. H. Kim, R. Schmitt, M. Li, C. Ware, B. Leibowitz, Y. Frans, and N. Nguyen, “Design and characterization of a 12.8 GB/s low power differential memory system for mobile applications,” in Proc. IEEE 18thEPEPS, 2009, pp. 33-36.
[9] P. J. Wright, and K. C. Saraswat, ”Thickness Limitations of SiO2 Gate Dielectrics for MOS ULSI,” in IEEE, Trans. Electron Devices, vol. 37, no. 8, pp. 1884-1892, 1990.
[10] A. Asenov, A. R. Brown, J. H. Davies, S. Kaya, and G. Slavcheva, “Simulation of intrinsic parameter fluctuations in decananometer and nanometer-scale MOSFETs,” in IEEE Trans. Electronic Devices, vol. 50, no. 9, pp. 1837-1852, 2003.
[11] C. D’Agostino, J. L. Coz, P. Flatresse, E. Beigne, and M. Belleville, “ An accurate approach for statistical estimation of leakage current considering multi-parameter process variations in nanometer CMOS technologies,” in ESSDERC, 2009, pp. 427-430.
[12] A. Jain, and S. Akashe, ”Optimization of Low Power 7T SRAM Cell in 45nm Technology,” in 2nd ACCT, 2012, pp. 324-327.
[13] H. Jeon, Y. B. Kim, and M. Choi, “Standby Leakage Power Reduction Technique for Nanoscale CMOS VSLI Systems,” in IEEE Trans Instrumentation and Measurement, vol. 59, no. 5, 2010, pp. 1127-1133.
[14] O. Thomas, M. Belleville, and R. Ferrant, “SRAM memory cell leakage reduction design techniques in 65nm low power PD-SOI CMOS,” in ICICDT, 2008, pp. 51-54.
[15] J. Park, H. Jeong, H. J. Kim, and S. O. Jung, “Low power SRAM bitcell design for near-threshold operation,” in ICCE-Asia, 2016, pp.1-4.
[16] L. Mishra, S. Kumar V, and S. Mangesh, ”Design and implementation of low power SRAM structure using nanometer scale,” in 2ndAEEICB, 2016, pp. 11-16.
[17] E. Ou, and S. S. Wong, “Array architecture for a nonvolatile 3-dimensional cross-point resistance-change memory,” in Journal of Solid-State Circuits, vol. 46, no. 9, pp. 2158-2170, 2011.
[18] M. J. Lee, Y. Park, B. S. Kang, S. E. Ahn, C. Lee, K. Kim, W. Xianyu, G. Stefanovich, J. H. Lee, S. J. Chung, Y. H. Kim, C. S. Lee, J. B. Park, I. G. Baek, and I.K. Yoo, “2-stack 1D-1R cross-point structure with oxide diodes as switch elements for high density resistance RAM applications,” in IEDM Tech. Dig., 2007, pp. 771-774.
[19] Y. Seo. K. W. Kwon, and K. Roy, “Area-Efficient SOT-MRAM With a Schottky Diode,” in IEEE Electron Device Letters, vol. 37, no. 8, 2016, pp. 982-985.
[20] A. Makarov, T. Windbacher, V. Sverdlov, and S. Selberherr, “SOT-MRAM based on 1 Transistor-1MTJ-cell structure,” in NVMTS, 2015, pp. 1-4.
[21] J. W. Ryu, and K. W. Kwon, ”A Reliable 2T2MTJ Nonvolatile Static Gain Cell STT-MRAM With Self-Referencing Sensing Circuits for Embedded Memory Application,” in IEEE Trans. Magnetics, vol. 52, no. 4, 2016.
[22] G. Hu, J. H. Lee, J. J. Nowak, J. Z. Sun, J. Harms, A. Annunziata, S. Brown, W. Chen, Y. H. Kim, G. Lauer, L. Liu, N. Marchack, S. Murthy, E. J. O’Sullivan, J. H. Park, M. Reuter, R. P. Robertazzi, P. L. Trouilloud, Y. Zhu, and D. C. Worledge, “STT-MRAM with double magnetic tunnel junctions,” in IEDM Tech. Dig., 2015, pp. 26.3.1-26.3.4.
[23] M. S. Tosson, A. Neale, M. Anis, and L. Wei, “8T1R: A novel low-power high-speed RRAM-based non-volatile SRAM design,” in GLSVLSI, 2016, pp. 239-244.
[24] M. R.F. Abingosa, C. Receno, J. Imperial, and J. A. Hora, ”Interconnect Modeling of Global Metals For 40nm Node,” in HNICEM, 2015, pp. 1-6.
[25] A. Zhang, W. Zhao, X. Zhu, W. Deng, J. He, A. Chen, and M. Chan, “Field-based parasitic capacitance models for 2D and 3D sub-45-nm interconnect,” in 4th ASQED, 2012, pp. 110-116.
[26] P. Huang. X. Y. Liu, B. Chen, H. T. Li, Y. J. Wang, Y. X. Deng, K. L. Wei, L. Zeng, B. Gao, G. Du, X. Zhang, and J. F. Kang, “A Physics-Based Compact Model of Metal-Oxide-Based RRAM DC and AC Operations,” in IEEE Trans. Electronic Devices, vol. 60, no. 12, pp. 4090-4097, 2013.
[27] H. –Y. Chen, S. Yu, B. Gao, P. Huang, J. Kang, and H. –S. Philip, “HfOx based vertical resistive random access memory for cost-effective 3D cross-point architecture without cell selector,” in IEDM Tech. Dig., 2012, pp. 20.7.1-20.7.4.
[28] C. Y. Mei, W. C. Shen, C. H. Wu, Y. –D. Chih, Y. –C. King, C. J. Lin, M. –J. Tsai, K. –H. Tsai, and F. T. Chen, “28-nm 2T High-K Metal Gate Embedded RRAM With Fully Compatible CMOS Logic Processes,” in IEEE Electron Device Letters, vol. 34, no. 10, pp. 1253-1255, 2013.
[29] W. C. Shen, C. Y. Mei, Y. –D. Chih, S. –S. Sheu, M. –J. Tsai, Y. –C. King, and C. J. Lin, “High-K metal gate contact RRAM (CRRAM) in pure 28nm CMOS logic process,” in IEDM Tech. Dig., 2012, pp. 31.6.1-31.6.4.
[30] M. –C. Hsieh, Y. –C. Liao, Y. –W. Chin, C. –H. Lien, T. –S. Chang, Y. –D. Chih, S. Natarajan, M. –J. Tsai, Y. –C. King, and C. J. Lin, “Ultra high density 3D via RRAM in pure 28nm CMOS process,” in IEDM Tech. Dig., 2013, pp. 10.3.1-10.3.4.
[31] Y. D. Lin, Y. S. Chen, K. H. Tsai, P. S. Chen, Y. C. Huang, S. H. Lin, P. Y. Gu, W. S. Chen, P. S. Chen, H. Y. Lee, S. Z. Rahaman, C. H. Hsu, F. T. Chen, and T. K. Ku, “Highly robust self-compliant and nonlinear TaOX/ HfOX RRAM for 3D vertical structure in 1TnR architecture,” in VLSI-TSA, 2015, pp. 1-2.
[32] V. Y. Q. Zhuo, M. H. Li, and Y. Jiang, “Electrode effects on the current conduction mechanism in TaOx-based RRAM,” in ESDDC, 2015, pp. 693-696.
[33] S. S. Sheu, C. C. Kuo, M. F Chang, P. L. Tseng, C. S. Lin, M. C. Wang, C. H. Lin, W. P. Lin, T. K. Chien, S. H. Lee, S. C. Liu, H.Y. Lee, P. S. Chen, Y. S. Chen, C. C. Hsu, F. T. Chen, K. L. Su, T. K. Ku, M. J. Tsai, and M. J. Kao, “A ReRAM Integrated 7T2R Non-volatile SRAM for Normally-off Computing Application,” in A-SSCC, 2013, pp. 245-248.
[34] W. Wang, A. Gibby, Z. Wang, T. W. Chen, S. Fujita, P. Griffin, Y. Nishi, and S. Wong, “Nonvolatile SRAM cell,” in IEDM Tech. Dig., 2006, pp. 1-4.
[35] T. Ohsawa, H. Koike, S. Miura, H. Honjo, K. Kinoshita, S. Ikeda, T. Hanyu, H. Ohno, and T. Endoh, “A 1Mb Nonvolatile Embedded Memory Using 4T2MTJ Cell With 32b Fine-Grained Power Gating Scheme,” in Journal of Solid-State Circuits, vol.48, no. 6, pp. 1511-1520.
[36] C. F. Liao, M. -Y. Hsu, Y. -D. Chih, Jonathan Chang, Y. -C. King, and C. J. Lin, “Zero static-power 4T SRAM with self-inhibit resistive switching load by pure CMOS logic process,” in IEDM Tech. Dig., 2016, pp. 16.5.1-16.5.4.
[37] S. -Y. Chien, P. -Y. Lin, H. Y. Chen, C. J. Lin, and Y. -C. King, “Self-Matching SRAM with Embedded OTP Cells in Nano-scale Logic CMOS Technologies,” in IEEE Trans. Electronic Devices, vol. 61, no. 11, pp. 3731-3736, 2014.
[38] Y. C. Chen, C. T. Chen, J. Y. Yu, C. Y. Lee, C. F. Chen, S. L. Lung, and R. Liu, “180nm Sn-doped Ge/sub 2/Sb/sub 2/Te/sub 5/ chalcogenide phase-change memory device for low power, high speed embedded memory for SoC applications,” in CICC, 2003, pp. 16.4.1-16.4.4.
[39] A. Prakash, D. Jana, and S. Maikap, “TaOx-based resistive switching memories: prospective and challenges,” in Nanoscale Research Letters, vol. 8, no. 418, 2013.
(此全文未開放授權)
電子全文
中英文摘要
 
 
 
 
第一頁 上一頁 下一頁 最後一頁 top
* *