帳號:guest(52.15.48.189)          離開系統
字體大小: 字級放大   字級縮小   預設字形  

詳目顯示

以作者查詢圖書館館藏以作者查詢臺灣博碩士論文系統以作者查詢全國書目
作者(中文):賴俐雅
作者(外文):Lai, Li-Ya
論文名稱(中文):應用於電阻式隨機存取記憶體之高效率自動寫入機制
論文名稱(外文):A High Efficiency Automatic Write Mechanism for Resistive Random Access Memory
指導教授(中文):張孟凡
指導教授(外文):Chang, Meng-Fan
口試委員(中文):洪浩喬
邱瀝毅
口試委員(外文):Hong, Hao-Chiao
Chiou, Lih-Yih
學位類別:碩士
校院名稱:國立清華大學
系所名稱:電子工程研究所
學號:104063517
出版年(民國):106
畢業學年度:106
語文別:英文
論文頁數:58
中文關鍵詞:電阻式隨機存取記憶體自動寫入機制
外文關鍵詞:Resistive Random Access MemoryAutomatic Write Mechanism
相關次數:
  • 推薦推薦:0
  • 點閱點閱:541
  • 評分評分:*****
  • 下載下載:26
  • 收藏收藏:0
近年來,非揮發性記憶體被普遍地應用在許多電子產品且市場需求也日益增大,並且追求大容量、低功耗與低成本的記憶體。快閃記憶體(FLASH)是非揮發性記憶體的主流,在市面上受到歡迎且已被廣泛地使用。然而,快閃記憶體元件在製程日益微縮下遇到許多挑戰且寫入與擦除的操作需要較高的電壓以及較長的時間。因此,有一些新興的記憶體被開發用來取代快閃記憶體做為下世代非揮發性記憶體。
在新世代非揮發性記憶體中,電阻式記憶體(RRAM)是極有代表性,具有低功率、低寫入電壓及較低的面積消耗及具有CMOS邏輯製程相容性的特性。但是隨著元件微縮,RRAM也受到一些困難,例如較小的阻值比(RH/RL)及寫入時間分布較廣。這些元件特性將會讓電路設計上受到更大挑戰。RRAM元件有另外一個特別的操作不同於其它非揮發性記憶體,就是傳導路徑形成機制(FORMING);RRAM出廠前,記憶體內所有位元皆需進行過一次FORMING操作先讓元件產生導電絲並使其阻值變低,才會將產品出廠讓客戶使用;因此,RRAM在製作過程中需花費額外的時間去切換與成本來進行FORMING操作。另一個問題是RRAM的寫入延遲時間,因為SET與RESET的寫入操作是不同時間等級的,因此寫入時間主要是被需要花較長的寫入操作(SET或RESET)給主導。
在此,我們提出自動化寫入機制來解決上述提到的問題。在記憶體中操作中,我們可以將自動化寫入機制設置為另一種模式,不同於正常的寫入模式,主要適用於大容量一次性寫完。一旦前一個細胞已被寫入成功,此時自動化寫入機制可以自動感測切換至下一個細胞的位址,可達到省時間高效率的效益。對FORMING來說,可以解決繁複的製做過程。除了有自動化地功能,我們也提出多組並行操作的架構,並與原始且最簡易的字元基礎架構做比較,我們得到隨著記憶體容量增大,自動化寫入機制可以得到更多的好處。自動化寫入機制不只可以做Auto-FORMING的功能,也可以透過不同的應用搭配Auto-SET與 Auto-RESET功能去藉此達到寫入延遲時間的減少。
將我們提出的想法以1Mb Contact-RRAM記憶體的規格實現在台積電65奈米CMOS邏輯製程上。此架構以高效率操作解決了RRAM在製造中的挑戰,且與原始架構相比,用FOM評估可減少52.9%。
Recent years, the application of non-volatile memories is common used for many electronic product and the market demand is larger, which require larger capacity, lower power and lower cost. The mainstream of non-volatile is Flash memory that is quite popular and widely used. However, Flash memory encounters more challenges in process scaling and require higher voltage to program/erase with longer write time. However, there are some emerging non-volatile memories that have developed to replace FLASH memory as next generation non-volatile memory.
Resistive random access memory (RRAM) is one of the most representative in next generation NVM with lower power, lower write voltage and lower area with CMOS logic-compatible. Nevertheless, RRAM also suffers some problem as device shrinking, such as smaller R ratio (RH/RL) and widen write time distribution. These device characteristic issues will cause challenge on design circuits. In particular, RRAM device has another special operation, FORMING, different from other NVM. Before RRAM leaving the factory, all of bits in RRAM array should be formed one time to form the filament, which make the resistance of cell be lower, and then customer could use this memory product to store the data; therefore, we need to spend additional time to switch every bit and additional cost for FORMING operation on manufacturing. The other issue is ReRAM write latency; because SET operation and RESET operation are different write timing order, the write latency is dominated by the longer operation.
Here, we proposed Auto-Write mechanism to solve above issues. Auto-Write can operate the other mode for memory, which different from the normal write mode; it is apply to write large capacity at one time. Auto-Write can automatically detect and switch to the next address of the cell once the previous cell has been written already, which could save more time to reach high efficiency. For the FORMING operation, it solve complicated operation on manufacturing. In addition to this benefit of automatic switching function, we also proposed multiple grouping in parallel operation scheme to improve write efficiency, which make the amount of improvements also increase as ReRAM density increase compare with the simplest architecture, word-based. Auto-Write scheme not only can do Auto-FORMING function but also can do Auto-SET and Auto-RESET operation with different application to achieve write latency reduction.
We implement our proposed work Auto-Write at 65nm 1Mb Contact-ReRAM memory in TSMC CMOS process. This work solves RRAM manufacturing challenge with high efficiency operation and can gain 52.9% reduction on the FOM evaluation that compare with the original architecture.
摘要 ii
Abstract iv
Contents vii
List of Figures ix
List of Tables xi
Chapter 1 Introduction 1
1.1 The Memory Landscape 1
1.1.1 RAM 3
1.1.2 CAM 3
1.1.3 ROM 4
1.1.4 Programmable NVMs 4
1.2 Challenges of Flash Memory 5
1.3 Emerging Non-Volatile Memories 8
Chapter 2 Characteristic of Contact-ReRAM 11
2.1 Structure of Contact-ReRAM 11
2.2 Switching Mechanism 12
2.3 Distribution of Contact-ReRAM 13
2.4 Read Operation 15
2.5 Write Operation 16
Chapter 3 Design Challenge 18
3.1 Design Challenge of ReRAM Write 18
3.2 Previous Work 20
3.2.1 Write Termination 21
3.2.2 Common FORMING Method 24
Chapter 4 Proposed Scheme and Analysis 27
4.1 Concept 27
4.2 Scheme and Operation 31
4.3 Analysis and Comparisons 37
Chapter 5 Measurement Result and Conclusion 42
5.1 CRRAM Macro Architecture 42
5.2 Design for Test 44
5.3 Measurement Result 46
5.4 Conclusion and Future Works 50
Reference 53

[1] A. G. Hanlon et al., "Content-Addressable and Associative Memory Systems a Survey," IEEE Transactions on Electronic Computers, vol.EC-15, Issue 4, pp.509-521, Aug. 1966.
[2] C. C. Wang et al., "An Adaptively Dividable Dual-Port BiTCAM for Virus-Detection Processors in Mobile Devices," IEEE Journal of Solid-State Circuits (JSSC), vol.44, Issue 5, pp.1571-1581, May. 2009.
[3] Jing Li et al., “1 Mb 0.41 µm² 2T-2R Cell Nonvolatile TCAM With Two-Bit Encoding and Clocked Self-Referenced Sensing,” IEEE Journal of Solid-State Circuits (JSSC), vol. 49, Issue 4, pp. 896-907, April. 2013.
[4] Meng-Fan Chang et al., “A 3T1R Nonvolatile TCAM Using MLC ReRAM with Sub-1ns Search Time,” IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 1-3, Feb. 2015.
[5] Clinton Kuo et al., “A 512-kb flash EEPROM embedded in a 32-b microcontroller,” IEEE Journal of Solid-State Circuits (JSSC), vol. 27, Issue 4, pp. 574-582, Apr. 1992.
[6] Doug Smith et al., “A 3.6ns 1Kb ECL I/O BiCMOS U.V. EPROM,” IEEE International Symposium on Circuits and Systems (ISCAS), vol. 3, pp. 1987-1990, May 1990.
[7] Sarvesh H. Kulkarni et al., “A 4 kb Metal-Fuse OTP-ROM Macro Featuring a 2 V Programmable 1.37 μm2 1T1R Bit Cell in 32 nm High-k Metal-Gate CMOS,” IEEE Journal of Solid-State Circuits (JSSC), vol. 45, Issue 4, pp. 863-868, Apr. 2010.
[8] Yi-Hung Tsai et al., “45nm Gateless Anti-Fuse Cell with CMOS Fully Compatible Process,” IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers, pp. 95-98, Dec. 2007.
[9] Webfeet Inc. et al., “Semiconductor industry outlook,” Non-Volatile Memory Conference, Santa Clara, CA., 2002.
[10] S. L. Min et al., “Current trends in flash memory technology,” IEEE Asia and South Pacific Conference on Design Automation, pp. 24-27, Jan. 2006.
[11] C. Villa et al., "A 125 MHz burst-mode flexible read-while-write 256 Mbit 2b/c 1.8V NOR flash memory," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 52-584, Feb. 2005.
[12] M. F. Chang et al., "A Process Variation Tolerant Embedded Split-Gate Flash Memory Using Pre-Stable Current Sensing Scheme," IEEE Journal of Solid-State Circuits (JSSC), vol. 44, no. 3, pp. 987-994, March 2009.
[13] J. Javanifard et al., "A 45nm Self-Aligned-Contact Process 1Gb NOR Flash with 5MB/s Program Speed," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 424-624, Feb. 2008.
[14] C. Gerardi et al., "Performance and reliability of a 4Mb Si nanocrystal NOR Flash memory with optimized 1T memory cells," IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers, pp. 1-4, Dec. 2008.
[15] T. Ogura et al., "A fast rewritable 90nm 512Mb NOR “B4-Flash” memory with 8F2 cell size," Symposium on VLSI Circuits Dig. Tech. Papers, pp. 198-199, June 2011.
[16] H. T. Lue et al., "A highly scalable 8-layer 3D vertical-gate (VG) TFT NAND Flash using junction-free buried channel BE-SONOS device," Symposium on VLSI Technology Dig. Tech. Papers, pp. 131-132, June 2010.
[17] C. P. Chen et al., "A highly pitch scalable 3D vertical gate (VG) NAND flash decoded by a novel self-aligned independently controlled double gate (IDG) string select transistor (SSL)," Symposium on VLSI Technology Dig. Tech. Papers, pp. 91-92, June 2012.
[18] H. T. Lue et al., "A novel bit alterable 3D NAND flash using junction-free p-channel device with band-to-band tunneling induced hot-electron programming," Symposium on VLSI Technology Dig. Tech. Papers, pp. 152-153, June 2013.
[19] K. T. Park et al., "Three-dimensional 128Gb MLC vertical NAND Flash-memory with 24-WL stacked layers and 50MB/s high-speed programming," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 334-335, Feb. 2014.
[20] R. Cernea et al., "A 34MB/s-Program-Throughput 16Gb MLC NAND with All-Bitline Architecture in 56nm," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 420-624, Feb. 2008.
[21] Choong-Ho Lee et al., "A highly manufacturable integration technology for 27nm 2 and 3bit/cell NAND flash memory," IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers, pp. 5.1.1-5.1.4, Dec. 2010.
[22] Y. Koh et al., “NAND Flash Scaling beyond 20nm,” IEEE Internstional Memory Workshop, pp. 1-3, May 2009.
[23] K. Prall et al., "Scaling Non-Volatile Memory Below 30nm,"IEEE Non-Volatile Semiconductor Memory Workshop, Monterey, pp. 5-10, 2007.
[24] S. Lee et al, "Scaling Challenges in NAND Flash Device toward 10nm Technology," IEEE International Memory Workshop, pp. 1-4, May 2012.
[25] K. Takeuchi et al, "Scaling challenges of NAND flash memory and hybrid memory system with storage class memory & NAND flash memory," IEEE Custom Integrated Circuits Conference, pp. 1-6, 2013.
[26] C. Villa, D. Vimercati et al., “A 125 MHz burst-mode flexible read-while-write 256 Mbit 2b/c 1.8V NOR flash memory,” IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 52–54, Feb. 2005.
[27] C. Deml et al., “A 0.13µm 2.125MB 23.5ns Embedded Flash with 2GB/s Read Throughput for Automotive Microcontrollers,” IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 11-15, Feb. 2007.
[28] M. Sako et al., “A low-power 64Gb MLC NAND-flash memory in 15nm CMOS technology,” IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 22-26, Feb. 2015.
[29] J. Javanifard et al., "A 45nm Self-Aligned-Contact Process 1Gb NOR Flash with 5MB/s Program Speed," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 424-624, Feb. 2008.
[30] C. Gerardi et al., "Performance and reliability of a 4Mb Si nanocrystal NOR Flash memory with optimized 1T memory cells," IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers, pp. 1-4, Dec. 2008.
[31] R. Cernea et al., "A 34MB/s-Program-Throughput 16Gb MLC NAND with All-Bitline Architecture in 56nm," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 420-624, Feb. 2008
[32] H. Shiga et al., "A 1.6GB/s DDR2 128Mb chain FeRAM with scalable octal bitline and sensing schemes," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 464-465, Feb. 2009
[33] D. Takashima et al., "A scalable shield-bitline-overdrive technique for 1.3V Chain FeRAM," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 262-263, Feb. 2010.
[34] M. Qazi et al., "A low-voltage 1Mb FeRAM in 0.13μm CMOS featuring time-to-digital sensing for expanded operating margin in scaled CMOS," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 208-210, Feb. 2011.
[35] R. Takemura et al., "A 32-Mb SPRAM With 2T1R Memory Cell, Localized Bi-Directional Write Driver and `1'/`0' Dual-Array Equalized Reference Scheme," IEEE Journal of Solid-State Circuits (JSSC), vol. 45, no. 4, pp. 869-879, April 2010.
[36] J. J. Nahas et al., "A 180 Kbit Embeddable MRAM Memory Module," IEEE Journal of Solid-State Circuits (JSSC), vol. 43, no. 8, pp. 1826-1834, Aug. 2008.
[37] D. Gogl et al., "A 16-Mb MRAM featuring bootstrapped write drivers," IEEE Journal of Solid-State Circuits (JSSC), vol. 40, no. 4, pp. 902-908, April 2005.
[38] D. Halupka et al., "Negative-resistance read and write schemes for STT-MRAM in 0.13µm CMOS," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 256-257, Feb. 2010.
[39] K. C. Chun et al., "A Scaling Roadmap and Performance Evaluation of In-Plane and Perpendicular MTJ Based STT-MRAMs for High-Density Cache Memory," IEEE Journal of Solid-State Circuits(JSSC), vol. 48, no. 2, pp. 598-610, Feb. 2013.
[40] G. De Sandre et al., "A 90nm 4Mb embedded phase-change memory with 1.2V 12ns read access time and 1MB/s write throughput," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 268-269, Feb. 2010.
[41] K. J. Lee et al., "A 90nm 1.8V 512Mb Diode-Switch PRAM with 266MB/s Read Throughput," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 472-616, Feb. 2007.
[42] F. Bedeschi et al., "A Multi-Level-Cell Bipolar-Selected Phase-Change Memory," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 428-625, Feb. 2008.
[43] F. Bedeschi et al., "A Bipolar-Selected Phase Change Memory Featuring Multi-Level Cell Storage," IEEE Journal of Solid-State Circuits (JSSC), vol. 44, no. 1, pp. 217-227, Jan. 2009.
[44] H. Y. Cheng et al., "A high performance phase change memory with fast switching speed and high temperature retention by engineering the GexSbyTez phase change material," IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers, pp. 3.4.1-3.4.4, Dec. 2011.
[45] J. Y. Wu et al., "A low power phase change memory using thermally confined TaN/TiN bottom electrode," IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers, pp. 3.2.1-3.2.4, Dec. 2011.
[46] T. Morikawa et al., "A low power phase change memory using low thermal conductive doped-Ge2Sb2Te 5 with nano-crystalline structure," IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers, pp. 31.4.1-31.4.4, Dec. 2012.
[47] H. Shiga et al., "A 1.6GB/s DDR2 128Mb chain FeRAM with scalable octal bitline and sensing schemes," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 464-465, Feb. 2009
[48] D. Takashima et al., "A scalable shield-bitline-overdrive technique for 1.3V Chain FeRAM," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 262-263, Feb. 2010.
[49] M. Qazi et al., "A low-voltage 1Mb FeRAM in 0.13μm CMOS featuring time-to-digital sensing for expanded operating margin in scaled CMOS," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 208-210, Feb. 2011.
[50] Y. H. Tseng et al., “High density and ultra small cell size of Contact ReRAM (CR-RAM) in 90nm CMOS logic technology and circuits,” IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers, pp. 1-4, Dec. 2009.
[51] C. H. Ho et al., “A Highly Reliable Self-Aligned Graded Oxide WOx Resistance Memory: Conduction Mechanisms and Reliability,” IEEE Symposium on VLSI Technology Dig. Tech. Papers, pp. 228-229, June 2007.
[52] M. J. Lee et al.,” 2-stack 1D-1R Cross-point Structure with Oxide Diodes as Switch Elements for High Density Resistance RAM Applications,” IEEE International Electron Devices Meeting Digest of Technical Papers, pp. 771-774, Dec. 2007.
[53] H. Y. Lee et al., “Low power and high speed bipolar switching with a thin reactive Ti buffer layer in robust HfO2 based RRAM,” IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers, pp. 1-4, Dec. 2008.
[54] B. Gao et al., "Oxide-based RRAM switching mechanism: A new ion-transport-recombination model," IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers, pp. 1-4, Dec. 2008.
[55] Ching-Hua Wang et al., "Three-dimensional 4F2 ReRAM cell with CMOS logic compatible process," IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers, pp. 29.6.1-29.6.4, Dec. 2010.
[56] Y. S. Chen et al., " Highly scalable hafnium oxide memory with improvements of resistive distribution and read disturb immunity," IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers, pp. 1-4, Dec. 2009.
[57] G. Bersuker et al., "Metal oxide RRAM switching mechanism based on conductive filament microscopic properties," IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers, pp. 19.6.1-19.6.4, Dec. 2010.
[58] J. Lee et al., "Diode-less nano-scale ZrOx/HfOx RRAM device with excellent switching uniformity and reliability for high-density cross-point memory applications," IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers, pp. 19.5.1-19.5.4, Dec. 2010.
[59] C. Cagli et al., "Evidence for threshold switching in the set process of NiO-based RRAM and physical modeling for set, reset, retention and disturb prediction," IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers, pp. 1-4, Dec. 2008.
[60] H. Y. Lee et al., "Low power and high speed bipolar switching with a thin reactive Ti buffer layer in robust HfO2 based RRAM," IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers, pp. 1-4, Dec. 2008.
[61] Z. Wei, et al., "Highly reliable TaOx ReRAM and direct evidence of redox reaction mechanism," IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Paper, pp. 1-4, Dec. 2008
[62] Y. B. Kim et al., "Bi-layered RRAM with unlimited endurance and extremely uniform switching," Symposium on VLSI Technology Dig. Tech. Papers, pp. 52-53, June 2011.
[63] H. Y. Chen et al., "HfOx based vertical resistive random access memory for cost-effective 3D cross-point architecture without cell selector," IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers, pp. 20.7.1-20.7.4, Dec. 2012
[64] Y. S. Chen et al., "Forming-free HfO2 bipolar RRAM device with improved endurance and high speed operation," IEEE International Symposium on VLSI Technology, Systems, and Applications (VLSI-TSA), pp. 37-38, April 2009.
[65] C. H. Wang et al., "Three-dimensional 4F2 ReRAM cell with CMOS logic compatible process," IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers, pp. 29.6.1-29.6.4, Dec. 2010.
[66] K. Aratani et al., "A Novel Resistance Memory with High Scalability and Nanosecond Switching," IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers, pp. 783-786, Dec. 2007.
[67] Byoungil Lee et al., "NiO resistance change memory with a novel structure for 3D integration and improved confinement of conduction path," Symposium on VLSI Technology Dig. Tech. Papers, pp. 28-29, June 2009.
[68] Moinuddin K. Qureshi et al., “PreSET: Improving Performance of Phase Change Memories by Exploiting Asymmetry in Write Times”. “IEEE International Symposium on Computer Architecture (ISCA), P380-389, July. 2012.
[69] X. Y. Xue et al., "A 0.13µm 8Mb logic based CuxSiyO resistive memory with self-adaptive yield enhancement and operation power reduction," IEEE Journal of Solid-State Circuits (JSSC), pp. 1315-1322, May 2013.
[70] Lin,Wen, Zhang, “A Reliability-aware Write Termination Scheme for Resistive Random Access Memory”, thesis of NTHU, Oct.2016.
[71] A. Kawahara et al., "An 8Mb multi-layered cross-point ReRAM macro with 443MB/s write throughput," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 432-434, Feb. 2012.
 
 
 
 
第一頁 上一頁 下一頁 最後一頁 top
* *