帳號:guest(18.119.253.133)          離開系統
字體大小: 字級放大   字級縮小   預設字形  

詳目顯示

以作者查詢圖書館館藏以作者查詢臺灣博碩士論文系統以作者查詢全國書目
作者(中文):張仕函
作者(外文):Zhang, Shi-Han
論文名稱(中文):滿足最小佈值區域限制之擺放及臨界電壓調整
論文名稱(外文):Minimum Implant Area-Aware Placement and Threshold Voltage Refinement
指導教授(中文):麥偉基
指導教授(外文):Mak, Wai-Kei
口試委員(中文):陳宏明
王廷基
口試委員(外文):Chen, Hung-Ming
Wang, Ting-Chi
學位類別:碩士
校院名稱:國立清華大學
系所名稱:資訊工程學系所
學號:104062637
出版年(民國):106
畢業學年度:105
語文別:英文
論文頁數:35
中文關鍵詞:設計自動化元件擺放臨界電壓電腦輔助設計
外文關鍵詞:Design AutomationCell PlacementThreshold VoltageCAD
相關次數:
  • 推薦推薦:0
  • 點閱點閱:606
  • 評分評分:*****
  • 下載下載:20
  • 收藏收藏:0
在現今的的積體電路設計之中,配置臨界電壓是一個能夠非常有效降低漏電功耗的技術。隨著先進製程演進,特徵尺寸持續縮小的情況下,在決定裝置之臨界電壓的佈植區域上的佈局限制(我們稱為MinIA限制)變得越來越難滿足。因此,我們需要在擺放時將這些限制同時考慮。我們解釋了\cite{intraminia}在給定原先元件擺放位置下同時考慮細部擺放及改善臨界電壓以解決佈局上所有違反MinIA限制的方法。這是第一篇提出最佳且有效率使用綜合整數線性規劃為基礎之演算法來處理同列中MinIA之限制的研究。我們並且延伸此之演算法同時處裡同一列中以及列與列之間的MinIA限制。我們所提出演算法可能夠保證完全解決所有違反MinIA限制之情況。實驗數據顯示此研究所提出之的演算法僅少量影響原有擺放位置及臨界電壓配置,並可於實務上快速消除所有違反MinIA情形。
Threshold voltage assignment is a very effective technique to reduce
leakage power consumption in modern integrated circuit (IC) design. As
feature size continues to decrease, the layout constraints (called MinIA constraints)
on the implant area, which determines the threshold voltage of a
device, are becoming increasingly difficult to satisfy. It is necessary to take
these constraints into consideration during the placement stage. [1] proposes
to resolve the MinIA constraint violations of a given placement by
performing simultaneous detailed placement and threshold voltage refinement.
They first present an optimal and efficient mixed integer-linear programming
(MILP)-based algorithm to handle intra-row MinIA constraints.
We then extend the MILP-based algorithm to handle both inter-row and intrarow
MinIA constraints. Our algorithm guarantees to fix all MinIA constraint
violations. Experimental results demonstrate that our algorithm only
perturb the original placement and threshold voltage assignment solutions
minimally to eliminate all violations and are fast in practice.
Contents
誌謝 v
Acknowledgements vii
摘要 ix
Abstract xi
1 Introduction 1
1.1 Detail Placement Refinement . . . . . . . . . . . . . . . . . . . . . . . 1
1.2 Multiple Threshold Voltages Assignment . . . . . . . . . . . . . . . . 2
1.3 Filler Cell Insertion . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3
1.4 Handling MinIA Constraints . . . . . . . . . . . . . . . . . . . . . . . 4
1.5 Contribution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5
1.6 Organization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6
2 Preliminary 7
2.1 Previous Work . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7
2.2 Problem Definition . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8
2.3 Useful Observation . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9
3 Handling Intra-row MinIA Design Rules 11
3.1 ILP Formulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11
3.2 A Simpler and Faster MILP Model . . . . . . . . . . . . . . . . . . . . 15
3.3 Efficiency of the Model . . . . . . . . . . . . . . . . . . . . . . . . . . 16
3.4 Dealing with Fixed Macros . . . . . . . . . . . . . . . . . . . . . . . . 17
4 Handling Both Intra-row and Inter-row MinIA Design Rules 19
4.1 Inter-Row MinIA Design Rules . . . . . . . . . . . . . . . . . . . . . . 19
4.2 Additional Constraints for Inter-Row MinIA Design Rules . . . . . . . 20
4.3 Speedup Techniques . . . . . . . . . . . . . . . . . . . . . . . . . . . 22
5 Experimental Results 25
6 Conclusions 31
References 33
[1] S.-I. Lei, W.-K. Mak, and C. Chu, “Minimum implant area-aware placement and threshold voltage refinement,” in Asia and South Pacific Design Automation Conference ’16, pp. 192–197.
[2] A. Kahng and H. Lee, “Minimum implant area-aware gate sizing and placement,” in ACM Great Lakes Symposium on VLSI ’14, p. 57-62.
[3] N. Viswanathan, G.-J. Nam, C. Alpert, P. Villarrubia, H. Ren, and C. Chu, “Rql: Global placement via relaxed quadratic spreading and linearization,” in IEEE/ACM Design Automation Conference ’07, pp. 453–458.
[4] T. Lin, C. Chu, and G. Wu, “Polar 3.0: An ultrafast global placement engine,” in IEEE/ACM International Conference on Computer-Aided Design ’15, pp. 520–527.
[5] M.-K. Hsu and Y.-W. Chang, “Unified analytical global placement for large-scale mixed-size circuit designs,” in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems ’12, pp. 1366–1378.
[6] M.-C. Kim and I. L. Markov, “Complx: A competitive primal-dual lagrange optimization for global placement,” in Design Automation Conference ’12, pp. 747–752.
[7] X. He, T. Huang, L. Xiao, H. Tian, G. Cui, and E. F. Y. Young, “Ripple: An effective routability-driven placer by iterative cell movement,” in IEEE/ACM International Conference on Computer-Aided Design ’11, pp. 74–79.
[8] X. He, Y. Wang, W.-K. Chow, J. Kuang, K.-C. Lam, W. Cai, and E. F. Y. Young, “Ripple 2.0: High quality routability-driven placement via global router integration,” in Design Automation Conference ’13.
[9] T. Lin, C. Chu, J. R. Shinnerl, I. Bustany, and I. Nedelchev, “Polar: Placement based on novel rough legalization and refinement,” in IEEE/ACM International Conference on Computer-Aided Design ’13, pp. 357–362.
[10] C.-H. Wang, Y.-Y. Wu, J. Chen, Y.-W. Chang, S.-Y. Kuo, W. Zhu, and G. Fan, “An effective legalization algorithm for mixed-cell-height standard cells,” in Asia and South Pacific Design Automation Conference ’17, pp. 450–455.
[11] C.-Y. Hung, P.-Y. Chou, and W.-K. Mak, “Mixed-cell-height standard cell placement legalization,” in Great Lakes Symposium on VLSI ’17, pp. 149–154.
[12] P. Spindler, U. S. Chou, and F. M. Johannes, “Abacus: fast legalization of standard cell circuits with minimal movement,” in International symposium on Physical design ’08, pp. 47–53.
[13] U. Brenner, “Vlsi legalization with minimum perturbation by iterative augmentation,” in Design, Automation and Test in Europe ’12, pp. 1385–1390.
[14] H. A. Chien, Y. H. Chen, S. Y. Han, H. Y. Lai, and T. C. Wang, “On refining rowbased detailed placement for triple patterning lithography,” in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems ’15, pp. 778–793.
[15] T. Lin and C. Chu, “Tpl-aware displacement-driven detailed placement refinement with coloring constraints,” in International Symposium on Phyiscal Design ’15, pp. 75–80.
[16] H. Tian, Y. Du, H. Zhang, Z. Xiao, and D. Wong, “Triple patterning aware detailed placement with constrained pattern assignment,” in International Conference on Computer Aided Design ’14, pp. 116–123.
[17] Y. Lin, B. Yu, B. Xu, and D. Z. Pan, “Triple patterning aware detailed placement toward zero cross-row middle-of-line conflict,” in IEEE/ACM International Conference on Computer-Aided Design ’15, pp. 396–403.
[18] Y.-H. Chen, S.-H. Wang, and T.-C. Wang, “On refining standard cell placement for self-aligned double patterning,” in Design, Automation and Test in Europe Conference and Exhibition ’17.
[19] Y. Ding, C. Chu, and W.-K. Mak, “Pin accessibility-driven detailed placement refinement,” in ACM on International Symposium on Physical Design ’17, pp. 133–140.
[20] Z.-W. Lin and Y.-W. Chang, “Detailed placement for two-dimensional directed self-assembly technology,” in Design Automation Conference ’17.
[21] A. Kahng, “Lithography-induced limits to scaling of design quality,” in SPIE Advanced Lithography ’14.
[22] A. Kahng, “New game, new goal posts: A recent history of timing closure,” in Design Automation Conference ’15, pp. 1–6.
[23] V. Sundararajan and K. K. Parhi, “Low power synthesis of dual threshold voltage cmos vlsi circuits,” in International Symposium on Low Power Electronics and Design 1999, p. 139-144.
[24] P. Pant, R. K. Roy, and A. Chattejee, “Dual-threshold voltage assignment with transistor sizing for low power cmos circuits,” in IEEE Transactions on Very Large Scale Integration (VLSI) Systems ’01, p. 9(2):390-394.
[25] M. Ketkar and S. S. Sapatnekar, “Standby power optimization via transistor sizing and dual threshold voltage assignment,” in International Conference on Computer Aided Design ’02, p. 375-378.
[26] Y. Liu and J. Hu, “A new algorithm for simultaneous gate sizing and threshold voltage assignment,” in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems ’10, p. 29(2):223-234.
[27] G. Flach, T. Reimannand, and G. Posser, “Effective method for simultaneous gate sizing and v th assignment using lagrangian relaxation,” in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems ’14, p. 33(4):546-557.
[28] S. I. C. user guide http://www.synopsys.com/Tools/Implementation/PhysicalImplementation/Pages/ICCompiler.aspx/.
[29] K. Han, A. Kahng, , and H. Lee, “Scalable detailed placement legalization for complex sub-14nm constraints,” in International Conference on Computer Aided Design ’15, p. 867-873.
[30] K.-H. Tseng, Y.-W. Chang, and C. Liu, “Minimum-implant-areaaware detailed placement with spacing constraints,” in Design Automation conference ’16.
[31] P. Gupta, A. Kahng, and C. Park, “Detailed placement for improved depth of focus and cd constrol,” in Asia and South Pacific Design Automation Conference ’05, p. 343-348.
[32] H. Y. Chen, M. F. Chiang, Y. W. Chang, L. Chen, and B. Han, “Novel full-chip gridless routing considering double-via insertion,” in Design Automation conference ’06, pp. 755–760.
[33] T. Lin and C. Chu, “Polar 2.0: An effective routability-driven placer. in proc. of design automation conference,” in Design Automation Conference ’14.
[34] Gurobi http://www.gurobi.com.
 
 
 
 
第一頁 上一頁 下一頁 最後一頁 top
* *