|
[1] S.-I. Lei, W.-K. Mak, and C. Chu, “Minimum implant area-aware placement and threshold voltage refinement,” in Asia and South Pacific Design Automation Conference ’16, pp. 192–197. [2] A. Kahng and H. Lee, “Minimum implant area-aware gate sizing and placement,” in ACM Great Lakes Symposium on VLSI ’14, p. 57-62. [3] N. Viswanathan, G.-J. Nam, C. Alpert, P. Villarrubia, H. Ren, and C. Chu, “Rql: Global placement via relaxed quadratic spreading and linearization,” in IEEE/ACM Design Automation Conference ’07, pp. 453–458. [4] T. Lin, C. Chu, and G. Wu, “Polar 3.0: An ultrafast global placement engine,” in IEEE/ACM International Conference on Computer-Aided Design ’15, pp. 520–527. [5] M.-K. Hsu and Y.-W. Chang, “Unified analytical global placement for large-scale mixed-size circuit designs,” in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems ’12, pp. 1366–1378. [6] M.-C. Kim and I. L. Markov, “Complx: A competitive primal-dual lagrange optimization for global placement,” in Design Automation Conference ’12, pp. 747–752. [7] X. He, T. Huang, L. Xiao, H. Tian, G. Cui, and E. F. Y. Young, “Ripple: An effective routability-driven placer by iterative cell movement,” in IEEE/ACM International Conference on Computer-Aided Design ’11, pp. 74–79. [8] X. He, Y. Wang, W.-K. Chow, J. Kuang, K.-C. Lam, W. Cai, and E. F. Y. Young, “Ripple 2.0: High quality routability-driven placement via global router integration,” in Design Automation Conference ’13. [9] T. Lin, C. Chu, J. R. Shinnerl, I. Bustany, and I. Nedelchev, “Polar: Placement based on novel rough legalization and refinement,” in IEEE/ACM International Conference on Computer-Aided Design ’13, pp. 357–362. [10] C.-H. Wang, Y.-Y. Wu, J. Chen, Y.-W. Chang, S.-Y. Kuo, W. Zhu, and G. Fan, “An effective legalization algorithm for mixed-cell-height standard cells,” in Asia and South Pacific Design Automation Conference ’17, pp. 450–455. [11] C.-Y. Hung, P.-Y. Chou, and W.-K. Mak, “Mixed-cell-height standard cell placement legalization,” in Great Lakes Symposium on VLSI ’17, pp. 149–154. [12] P. Spindler, U. S. Chou, and F. M. Johannes, “Abacus: fast legalization of standard cell circuits with minimal movement,” in International symposium on Physical design ’08, pp. 47–53. [13] U. Brenner, “Vlsi legalization with minimum perturbation by iterative augmentation,” in Design, Automation and Test in Europe ’12, pp. 1385–1390. [14] H. A. Chien, Y. H. Chen, S. Y. Han, H. Y. Lai, and T. C. Wang, “On refining rowbased detailed placement for triple patterning lithography,” in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems ’15, pp. 778–793. [15] T. Lin and C. Chu, “Tpl-aware displacement-driven detailed placement refinement with coloring constraints,” in International Symposium on Phyiscal Design ’15, pp. 75–80. [16] H. Tian, Y. Du, H. Zhang, Z. Xiao, and D. Wong, “Triple patterning aware detailed placement with constrained pattern assignment,” in International Conference on Computer Aided Design ’14, pp. 116–123. [17] Y. Lin, B. Yu, B. Xu, and D. Z. Pan, “Triple patterning aware detailed placement toward zero cross-row middle-of-line conflict,” in IEEE/ACM International Conference on Computer-Aided Design ’15, pp. 396–403. [18] Y.-H. Chen, S.-H. Wang, and T.-C. Wang, “On refining standard cell placement for self-aligned double patterning,” in Design, Automation and Test in Europe Conference and Exhibition ’17. [19] Y. Ding, C. Chu, and W.-K. Mak, “Pin accessibility-driven detailed placement refinement,” in ACM on International Symposium on Physical Design ’17, pp. 133–140. [20] Z.-W. Lin and Y.-W. Chang, “Detailed placement for two-dimensional directed self-assembly technology,” in Design Automation Conference ’17. [21] A. Kahng, “Lithography-induced limits to scaling of design quality,” in SPIE Advanced Lithography ’14. [22] A. Kahng, “New game, new goal posts: A recent history of timing closure,” in Design Automation Conference ’15, pp. 1–6. [23] V. Sundararajan and K. K. Parhi, “Low power synthesis of dual threshold voltage cmos vlsi circuits,” in International Symposium on Low Power Electronics and Design 1999, p. 139-144. [24] P. Pant, R. K. Roy, and A. Chattejee, “Dual-threshold voltage assignment with transistor sizing for low power cmos circuits,” in IEEE Transactions on Very Large Scale Integration (VLSI) Systems ’01, p. 9(2):390-394. [25] M. Ketkar and S. S. Sapatnekar, “Standby power optimization via transistor sizing and dual threshold voltage assignment,” in International Conference on Computer Aided Design ’02, p. 375-378. [26] Y. Liu and J. Hu, “A new algorithm for simultaneous gate sizing and threshold voltage assignment,” in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems ’10, p. 29(2):223-234. [27] G. Flach, T. Reimannand, and G. Posser, “Effective method for simultaneous gate sizing and v th assignment using lagrangian relaxation,” in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems ’14, p. 33(4):546-557. [28] S. I. C. user guide http://www.synopsys.com/Tools/Implementation/PhysicalImplementation/Pages/ICCompiler.aspx/. [29] K. Han, A. Kahng, , and H. Lee, “Scalable detailed placement legalization for complex sub-14nm constraints,” in International Conference on Computer Aided Design ’15, p. 867-873. [30] K.-H. Tseng, Y.-W. Chang, and C. Liu, “Minimum-implant-areaaware detailed placement with spacing constraints,” in Design Automation conference ’16. [31] P. Gupta, A. Kahng, and C. Park, “Detailed placement for improved depth of focus and cd constrol,” in Asia and South Pacific Design Automation Conference ’05, p. 343-348. [32] H. Y. Chen, M. F. Chiang, Y. W. Chang, L. Chen, and B. Han, “Novel full-chip gridless routing considering double-via insertion,” in Design Automation conference ’06, pp. 755–760. [33] T. Lin and C. Chu, “Polar 2.0: An effective routability-driven placer. in proc. of design automation conference,” in Design Automation Conference ’14. [34] Gurobi http://www.gurobi.com. |