帳號:guest(18.216.232.83)          離開系統
字體大小: 字級放大   字級縮小   預設字形  

詳目顯示

以作者查詢圖書館館藏以作者查詢臺灣博碩士論文系統以作者查詢全國書目
作者(中文):邱曉昀
作者(外文):Chiu, Hsiao-Yun
論文名稱(中文):應用於智慧型資料處理以靜態隨機存取記憶體為基礎之記憶體內運算單元
論文名稱(外文):A Static Random Access Memory Based Computing-In-Memory Cell for Intelligent Data Processing
指導教授(中文):張孟凡
指導教授(外文):Chang, Meng-Fan
口試委員(中文):許世玄
呂仁碩
口試委員(外文):Sheu, Shyh-Shyuan
Liu, Ren-Shuo
學位類別:碩士
校院名稱:國立清華大學
系所名稱:電機工程學系
學號:104061589
出版年(民國):106
畢業學年度:106
語文別:英文
論文頁數:54
中文關鍵詞:智慧型資料處理靜態隨機存取記憶體記憶體內運算
外文關鍵詞:Intelligent Data ProcessingSRAMComputing-In-Memory
相關次數:
  • 推薦推薦:0
  • 點閱點閱:315
  • 評分評分:*****
  • 下載下載:26
  • 收藏收藏:0
隨著網路的快速發展以及多樣的行動裝置被廣泛應用,資料的傳輸數量呈現了驚人的成長。然而,資料在中央處理器(CPU)和記憶體間交換的過程中,系統會遭遇到資料處理速度不足的問題,我們稱之為馮‧紐曼瓶頸。因此,記憶體內運算就成為了一個具有極大潛力的發展目標,意即能夠使傳統的交換資料過程全部在記憶體內部完成。
記憶體內運算主要的概念包括了減少資料的移動、傳送運算後的結果而非傳出資料使其做運算。因此為了要達到以上的目的,本篇論文的設計概念為在記憶體內加上一些電路,使其具備一些簡單的邏輯功能以達到記憶體內運算的目標。由於目前的瓶頸是記憶體存取的延遲時間,因此利用記憶體內運算可以有效使資料傳輸的延遲被大大地減少。
本篇論文提出了一個以靜態隨機存取記憶體(SRAM)為基礎的記憶體內運算單元,意即可以減少CPU與記憶體間的資料傳輸量以及資料傳輸的能量損耗。此記憶體內運算單元能夠達到一般的SRAM讀寫操作,另外,也可以在一個記憶體周期內實現NAND、OR、XOR、XNOR等邏輯功能。
透過65奈米邏輯製程,本篇論文實現了容量為1Kb之基於SRAM的記憶體內運算單元架構。與先前的論文相比,分別在SRAM模式與記憶體內運算模式中,本篇論文降低了30.4%與15.87%的能量損耗。而量測結果方面,在SRAM模式下,本篇論文達到了0.76ns的量測讀取速度;在記憶體內運算模式下,則是達到了0.9ns的量測讀取速度。
With the rapid development of the network and the widely popular of variety mobile devices, the number of data transmission has had amazing growth. However, in the process of data exchange between CPU and memory unit, the system suffers the issue of insufficient speed of data processing called von Neumann bottleneck. Therefore, computing-in-memory, which makes the traditional data exchange process being done inside the memory, becomes a great potential development target.
The main concept of computing-in-memory includes reducing the data movement and transferring the result data after computing instead of transferring data to compute. In order to achieve the target above, the design concept is adding some circuits in the memory, makes it to be provided with some simple logic functions to achieve the goal of computing-in-memory. Since the current bottleneck is the latency of storage, it makes the data transfer delay dramatically reduced by using computing-in-memory.
Here, we propose a cell based on SRAM to achieve computing-in-memory, which can reduce data transmission and energy consumption between CPU and memory unit. This cell is able to achieve a general SRAM read/write operation, in addition, it is able to compute NAND, OR, XOR and XNOR functions within a single memory cycle.
Based on 65nm CMOS logic process, we fabricate a 1Kb computing-in-memory cell array based on SRAM. This work achieves 30.40% and 15.87% lower energy consumption in SRAM-mode and computing-mode respectively than previous work. For measurement results, this work achieves 0.76ns measured access time in SRAM-mode and 0.9ns measured access time in computing-mode.
摘要 i
Abstract ii
致謝 iv
Contents v
List of Figures vii
List of Tables ix
Chapter 1 Introduction 1
1.1 The Role of Memory in SoC products 1
1.2 Memory Landscape 2
1.2.1 RAM 4
1.2.2 CAM 5
1.2.3 ROM 5
1.2.4 Programmable NVMs 6
1.3 Von Neumann bottleneck 7
1.4 Computing-In-Memory (CIM) 8
Chapter 2 Background 10
2.1 Characteristic of ReRAM 10
2.1.1 Structure of ReRAM 10
2.1.2 ReRAM Operation 12
2.2 Characteristic of 6T SRAM cell 13
2.2.1 Structure of SRAM 13
2.2.2 Write Operation 15
2.2.3 Read Operation 15
Chapter 3 Previous Work 17
3.1 Crossbar Array Based CIM 17
3.2 1T1R ReRAM Based CIM 19
3.3 4+2T SRAM Based CIM 21
Chapter 4 Proposed Circuit Schemes and Analysis 26
4.1 Proposed Computing-In-Memory Circuit Scheme 26
4.1.1 Cell Structure 26
4.1.2 Operation 28
4.2 Analysis and Comparison 33
4.2.1 Speed Comparison 33
4.2.2 Energy Comparison 36
Chapter 5 Macro Implementation 39
5.1 Floor Plan of CIM-SRAM Macro 39
5.2 Design for Testchip 40
Chapter 6 Experimental Results and Conclusion 42
6.1 Measured Performance 42
6.2 Conclusions and Future Work 50
Reference 52

[1] K. Itoh et al., “VLSI Memory Chip Design”, Springer-Verlag, pp. 1-46, 2001.
[2] ITRS, “2001 Technology Roadmap For Semiconductors,” IEEE Computer, vol. 35, issue 1, pp. 42–53, Jan. 2002.
[3] F. Menichelli et al., “Static Minimization of Total Energy Consumption in Memory Subsystem for Scratchpad-Based Systems-on-Chips,” IEEE Transactions on Very Large Scale Integration Systems, vol. 17, issue 2, pp. 161-171, Jan. 2009.
[4] M. Kang et al., “Energy-efficient and high throughput sparse distributed memory architecture,” IEEE International Symposium on Circuits and Systems (ISCAS), pp. 2505-2508, 2015.
[5] S. Jeloka et al., “A 28 nm Configurable Memory (TCAM/BCAM/SRAM) Using Push-Rule 6T Bit Cell Enabling Logic-in-Memory,” IEEE Journal of Solid-State Circuits (JSSC), vol. 51, no. 4, pp. 1009-1021, Apr. 2016.
[6] A. G. Hanlon et al., “Content-Addressable and Associative Memory Systems a Survey,” IEEE Transactions on Electronic Computers, vol. EC-15, no.4, pp.509-521, Aug. 1966.
[7] C. C. Wang et al., “An Adaptively Dividable Dual-Port BiTCAM for Virus-Detection Processors in Mobile Devices,” IEEE International Solid-State Circuits Conference (ISSCC), pp.390-622, Feb. 2008.
[8] J. Li et al., “1 Mb 0.41 µm² 2T-2R Cell Nonvolatile TCAM With Two-Bit Encoding and Clocked Self-Referenced Sensing,” IEEE Journal of Solid-State Circuits (JSSC), vol. 49, Issue 4, pp. 896-907, Apr. 2014.
[9] M. F. Chang et al., “A 3T1R Nonvolatile TCAM Using MLC ReRAM with Sub-1ns Search Time,” IEEE International Solid-State Circuits Conference (ISSCC), pp. 1-3, Feb. 2015.
[10] D. Smith et al., “A 3.6ns 1Kb ECL I/O BiCMOS U.V. EPROM,” IEEE International Symposium on Circuits and Systems (ISCAS), vol. 3, pp. 1987-1990, May. 1990.
[11] C. Kuo et al., “A 512-kb flash EEPROM embedded in a 32-b microcontroller,” IEEE Journal of Solid-State Circuits (JSSC), vol. 27, Issue 4, pp. 574-582, Apr. 1992.
[12] S. H. Kulkarni et al., “A 4 kb Metal-Fuse OTP-ROM Macro Featuring a 2 V Programmable 1.37 μm2 1T1R Bit Cell in 32 nm High-k Metal-Gate CMOS,” IEEE Journal of Solid-State Circuits (JSSC), vol. 45, Issue 4, pp. 863-868, Apr. 2010.
[13] Y. H. Tsai et al., “45nm Gateless Anti-Fuse Cell with CMOS Fully Compatible Process,” IEEE International Electron Devices Meeting (IEDM), pp. 95-98, Dec. 2007.
[14] Webfeet Inc., “Semiconductor industry outlook,” Non-Volatile Memory Conference, 2002.
[15] S. L. Min et al., “Current trends in flash memory technology,” IEEE Asia and South Pacific Conference on Design Automation, pp. 24-27, Jan. 2006.
[16] F. Masuoka et al., “New ultra high density EPROM and flash EEPROM with NAND structure cell,” IEEE International Electron Devices Meeting (IEDM), vol. 33, pp. 552-555, 1987.
[17] A. Bergemont et al., “NOR virtual ground (NVG)-a new scaling concept for very high density flash EEPROM and its implementation in a 0.5 um process,” IEEE International Electron Devices Meeting (IEDM), pp. 15-18, Dec. 1993.
[18] D. Kuzum et al., “Nanoelectronic programmable synapses based on phase change materials for brain-inspired computing,” Nano Letters 12 (5), 2179-2186, 2012.
[19] B. Chen et al., “Efficient in-memory computing architecture based on crossbar arrays,” IEEE International Electron Devices Meeting (IEDM), pp. 17.5.1-17.5.4, 2015.
[20] S. Li et al., “Pinatubo: A processing-in-memory architecture for bulk bitwise operations in emerging non-volatile memories,” ACM/EDAC/IEEE Design Automation Conference (DAC), pp. 1-6, 2016.
[21] Q. Dong et al., “A 0.3V VDDmin 4+2T SRAM for searching and in-memory computing using 55nm DDC technology,” IEEE Symposium on VLSI Circuits, pp. C160-C161, 2017.
[22] F. Su et al., “A 462GOPs/J RRAM-based nonvolatile intelligent processor for energy harvesting IoE system featuring nonvolatile logics and processing-in-memory,” IEEE Symposium on VLSI Circuits, pp. C260-C261, 2017.
[23] Y. H. Tseng et al., “High density and ultra small cell size of Contact ReRAM (CR-RAM) in 90nm CMOS logic technology and circuits,” IEEE International Electron Devices Meeting (IEDM), pp. 1-4, Dec. 2009.
[24] C. H. Ho et al., “A Highly Reliable Self-Aligned Graded Oxide WOx Resistance Memory: Conduction Mechanisms and Reliability,” IEEE Symposium on VLSI Technology, pp. 228-229, Jun. 2007.
[25] M. J. Lee et al., “2-stack 1D-1R Cross-point Structure with Oxide Diodes as Switch Elements for High Density Resistance RAM Applications,” IEEE International Electron Devices Meeting (IEDM), pp. 771-774, Dec. 2007.
[26] H. Y. Lee et al., “Low power and high speed bipolar switching with a thin reactive Ti buffer layer in robust HfO2 based RRAM,” IEEE International Electron Devices Meeting (IEDM), pp. 1-4, Dec. 2008.
[27] B. Gao et al., “Oxide-based RRAM switching mechanism: A new ion-transport-recombination model,” IEEE International Electron Devices Meeting (IEDM), pp. 1-4, Dec. 2008.
[28] C. H. Wang et al., “Three-dimensional 4F2 ReRAM cell with CMOS logic compatible process,” IEEE International Electron Devices Meeting (IEDM), pp. 29.6.1-29.6.4, Dec. 2010.
[29] Y. S. Chen et al., “Highly scalable hafnium oxide memory with improvements of resistive distribution and read disturb immunity,” IEEE International Electron Devices Meeting (IEDM), pp. 1-4, Dec. 2009.
[30] G. Bersuker et al., “Metal oxide RRAM switching mechanism based on conductive filament microscopic properties,” IEEE International Electron Devices Meeting (IEDM), pp. 19.6.1-19.6.4, Dec. 2010.
[31] C. Cagli et al., “Evidence for threshold switching in the set process of NiO-based RRAM and physical modeling for set, reset, retention and disturb prediction,” IEEE International Electron Devices Meeting (IEDM), pp. 1-4, Dec. 2008.
[32] J. Lee et al., “Diode-less nano-scale ZrOx/HfOx RRAM device with excellent switching uniformity and reliability for high-density cross-point memory applications,” IEEE International Electron Devices Meeting (IEDM), pp. 19.5.1-19.5.4, Dec. 2010.
[33] B. Lee et al., “NiO resistance change memory with a novel structure for 3D integration and improved confinement of conduction path,” IEEE Symposium on VLSI Technology, pp. 28-29, Jun. 2009.
[34] K. Aratani et al., “A Novel Resistance Memory with High Scalability and Nanosecond Switching,” IEEE International Electron Devices Meeting (IEDM), pp. 10-12, Dec. 2007.
[35] M. F. Chang et al., “An Offset-Tolerant Fast-Random-Read Current-Sampling-Based Sense Amplifier for Small-Cell-Current Nonvolatile Memory,” IEEE Journal of Solid-State Circuits (JSSC), vol. 48, no. 3, pp. 864-877, Mar. 2013.
[36] M. F. Chang et al., “A 28nm 256kb 6T-SRAM with 280mV improvement in VMIN using a dual-split-control assist scheme,” IEEE International Solid-State Circuits Conference (ISSCC), pp. 314-315, 2015.
 
 
 
 
第一頁 上一頁 下一頁 最後一頁 top
* *