帳號:guest(3.133.115.53)          離開系統
字體大小: 字級放大   字級縮小   預設字形  

詳目顯示

以作者查詢圖書館館藏以作者查詢臺灣博碩士論文系統以作者查詢全國書目
作者(中文):李鎧翔
作者(外文):Li, Kai-Xiang
論文名稱(中文):應用於非揮發性記憶體具距離競速架構之裕度增強電流感測放大器
論文名稱(外文):A Margin Enhanced Current Sense Amplifier with Distance-Racing Scheme for Non-volatile Memories
指導教授(中文):張孟凡
指導教授(外文):Chang, Meng-Fan
口試委員(中文):邱瀝毅
洪浩喬
口試委員(外文):Chiou, Lih-Yih
Hong, Hao-Chiao
學位類別:碩士
校院名稱:國立清華大學
系所名稱:電機工程學系
學號:104061564
出版年(民國):106
畢業學年度:106
語文別:英文
論文頁數:67
中文關鍵詞:裕度增強感測放大器非揮發性記憶體
外文關鍵詞:Margin EnhancedSense AmplifierNon-volatile Memory
相關次數:
  • 推薦推薦:0
  • 點閱點閱:523
  • 評分評分:*****
  • 下載下載:16
  • 收藏收藏:0
非揮發性記憶體在現今的儲存記憶體市場上蔚為風潮。尤其是快閃記憶體更在近年來已然成為非揮發性記憶體的主流。快閃記憶體具有高密度、低成本及低耗能的特性,使得它擁有市場競爭力。然而,快閃記憶體需要高電壓來進行寫入和消除資料,而且其操作速度偏慢,顯然無法達到下世代產品的需求。除此之外,快閃記憶體在製程微縮時會遭遇到需要克服的問題,像是耦合雜訊干擾和高偏移臨界電壓等。相較之下,新興非揮發性記憶體只需要較低的操作電壓就能達到更好的效能。因此,他們有能力成為下世代非揮發性記憶體的主宰。
一些新興非揮發性記憶體(例如: 電阻式記憶體、磁阻式記憶體)非常適合用於需要快速讀取和低供給電壓的內嵌式裝置應用上,特別是使用電池的穿戴式裝置。然而,在大容量的非揮發記憶體中,低阻值率和阻值高偏移的現象會對感測造成一些問題。
為了解決由低阻值率和偏低的阻值造成的問題,我們提出一個利用雙參考電流取代傳統中點參考電流的距離競速架構來增強原本偏小的裕度,並且在位元線電流較大的情況下能抑制感測放大器的輸入偏移。在大位元線電流下能抑制等效偏移達傳統的2.5~3倍。而且,我們提出的架構在長位元線的情況下能有1.2~1.6倍的速度提升,讀取良率也可以改善30%以上。
我們用容量為1Mb的電阻式記憶體來驗證我們提出的架構,此晶片使用台積電的65奈米標準CMOS製程。在供給電壓為一伏且位元線長度為512個時,量測到的讀取時間為3.6奈秒。
Non-volatile memory (NVM) is very popular on storage memory market nowadays. Especially, Flash memory has already been the mainstream of NVM in recent years. The characteristics of Flash memory, such as high density, low cost, and low energy consumption, make it competitive on the market. However, Flash memory requires high voltage to program and erase, and the operation speed is still too slow to catch up with the requirements of next-generation inventions. Besides, there are some challenges which have to be overcome for the scaling of Flash memory, like coupling noise and large variation of threshold voltage. On the other hand, emerging non-volatile memories require lower operating voltage and have higher performance than Flash memory. Therefore, emerging NVMs have the ability to take over the next-generation NVMs.
Some emerging NVMs (i.e. ReRAM or MRAM) are suitable for high performance and low supply voltage embedded applications, particularly for wearable devices with batteries. Nevertheless, the small R-ratio and high variation make some problems on sensing NVM devices in large capacity.
So as to overcome the issues caused by small R-ratio and small LRS resistance, we propose a distance-racing read scheme to enhance the small margin by dual reference instead of conventional mid-point reference and suppress the input offset of sense amplifier under large BL current. The equivalent offset suppression of proposed scheme is 2.5~3x smaller than conventional works under large BL current. Moreover, the proposed scheme can achieve 1.2~1.6x faster speed than conventional works in long BL. In addition, the read yield can also be improved at least 30% in long BL.
Finally, our proposed scheme is verified in a 1Mb ReRAM macro fabricated in TSMC 65nm CMOS process. The measured access time of ReRAM macro is 3.6ns at typical VDD = 1V and BL-length = 512.
摘要 i
Abstract ii
致謝 iv
Contents v
List of Figures vii
List of Tables x
Chapter 1 Introduction 1
1.1 The Memory Landscape 1
1.2 Challenges of Flash Memory 5
1.3 Emerging Non-Volatile Memories 9
1.3.1 PCM 9
1.3.2 MRAM 10
1.3.3 FeRAM 12
1.3.4 ReRAM 13
Chapter 2 Characteristic of Contact-ReRAM 17
2.1 Structure of Contact-ReRAM 17
2.2 Write Operation 19
2.3 Read Operation 21
2.4 Distribution of Contact-ReRAM 22
Chapter 3 Design Challenges of Small Window Sensing 23
3.1 Design Challenges 23
3.1.1 Threshold Voltage in Process 23
3.1.2 Issues of Small R-ratio Devices 25
3.2 Conventional Current Type Sense Amplifier 27
3.3 Previous Arts 29
Chapter 4 Proposed Scheme and Analysis 35
4.1 Proposed Sense Amplifier 35
4.1.1 Concept of Proposed Sense Amplifier 35
4.1.2 Structure of Proposed Sense Amplifier 39
4.1.3 Operation of Proposed Sense Amplifier 40
4.2 Analysis and Comparison 45
4.2.1 Offset Comparison 45
4.2.2 Speed Comparison 47
4.2.3 Yield Comparison 49
Chapter 5 Measurement Results and Conclusion 51
5.1 ReRAM Macro 51
5.2 Design for Test 53
5.3 Measured Performance 56
5.4 Conclusions and Future Work 61
Reference 64
[1] T. Wing, et al., “Computer Hardware/Software Architecture,” Prentice Hall, pp. 30, 1986.
[2] K. Itoh, et al., “VLSI Memory Chip Design,” Springer-Verlag, NY, pp. 1-46, 2001.
[3] H. David, et al., “Memory power management via dynamic voltage/frequency scaling,” ICAC, pp. 31-40, 2011.
[4] P. Pavan, et al., “Flash memory cells-an overview,” Proceedings of the IEEE, vol. 85, no. 8, pp. 1248-1271, Aug 1997.
[5] R. Bez, et al., “Introduction to flash memory,” Proceedings of the IEEE, vol. 91, no. 4, pp. 489-502, April 2003.
[6] Y. Koh, “NAND Flash Scaling Beyond 20nm,” IEEE International Memory Workshop, pp. 1-3, 2009.
[7] K. N. Quader, “Flash Memory at a Cross-Road: Challenges & Opportunities,” IEEE International Memory Workshop, pp. 1-4, 2012.
[8] J. Jang, et al., “Vertical cell array using TCAT(Terabit Cell Array Transistor) technology for ultra high density NAND flash memory,” IEEE Symposium on VLSI Technology, pp. 192-193, 2009.
[9] T. Maeda, et al., “Multi-stacked 1G cell/layer Pipe-shaped BiCS flash memory,” IEEE Symposium on VLSI Circuits, pp. 22-23, 2009.
[10] J. Kim, et al., “Novel Vertical-Stacked-Array-Transistor (VSAT) for ultra-high-density and cost-effective NAND Flash memory devices and SSD (Solid State Drive),” IEEE Symposium on VLSI Technology, pp. 186-187, 2009.
[11] C. Villa, et al., “A 125 MHz burst-mode flexible read-while-write 256 Mbit 2b/c 1.8V NOR flash memory,” IEEE International Solid-State Circuits Conference (ISSCC), pp. 52–54, 2005.
[12] C. Deml, et al., “A 0.13µm 2.125MB 23.5ns Embedded Flash with 2GB/s Read Throughput for Automotive Microcontrollers,” IEEE International Solid-State Circuits Conference (ISSCC), pp. 11-15, 2007.
[13] M. Sako, et al., “A low-power 64Gb MLC NAND-flash memory in 15nm CMOS technology,” IEEE International Solid-State Circuits Conference (ISSCC), pp. 22-26, 2015.
[14] C. H. Hung, et al., “Layer-Aware Program-and-Read Schemes for 3D Stackable Vertical-Gate BE-SONOS NAND Flash Against Cross-Layer Process Variations,” IEEE Journal of Solid-State Circuits (JSSC), vol. 50, no. 6, pp. 1491-1501, June 2015.

[15] D. Kang, et al., “256Gb 3b/Cell V-NAND Flash Memory with 48 Stacked WL Layers,” IEEE International Solid-State Circuits Conference (ISSCC), pp. 130-131, 2016.
[16] C. Kim, et al., “A 512Gb 3b/cell 64-Stacked WL 3D NAND Flash Memory,” IEEE International Solid-State Circuits Conference (ISSCC), pp. 202-203, 2017.
[17] C. Y. Wen, et al., “A non-volatile look-up table design using PCM (phase-change memory) cells,” IEEE Symposium on VLSI Circuits, pp. 302-303, 2011.
[18] M. Rizzi, et al., “Statistics of set transition in phase change memory (PCM) arrays,” IEEE International Electron Devices Meeting (IEDM), pp. 29.6.1-29.6.4, 2014.
[19] H. Pozidis, et al., “Reliable MLC data storage and retention in phase-change memory after endurance cycling,” IEEE International Memory Workshop, pp. 100-103, 2013.
[20] W. J. Kim, et al., “Extended scalability of perpendicular STT-MRAM towards sub-20nm MTJ node,” IEEE International Electron Devices Meeting (IEDM), pp. 24.1.1-24.1.4, 2011.
[21] E. Kitagawa, et al., “Impact of ultra-low power and fast write operation of advanced perpendicular MTJ on power reduction for high-performance mobile CPU,” IEEE International Electron Devices Meeting (IEDM), pp. 29.4.1-29.4.4, 2012.
[22] Y. H. Wang, et al., “Impact of Stray Field on the Switching Properties of Perpendicular MTJ for Scaled MRAM,” IEEE International Electron Devices Meeting (IEDM), pp. 29.2.1-29.2.4, 2012.
[23] K. Tsunoda, et al., “Highly Manufacturable Multi-Level Perpendicular MTJ with a Single Top-Pinned Layer and Multiple Barrier/Free Layers,” IEEE International Electron Devices Meeting (IEDM), pp. 3.3.1-3.3.4, 2013.
[24] S. Masui, et al., “Design and applications of ferroelectric nonvolatile SRAM and flip-flop with unlimited read/program cycles and stable recall,” Proceedings of the IEEE Custom Integrated Circuits Conference (CICC), pp. 403-406, 2003.
[25] K. Yamaoka, et al., “A 0.9-V 1T1C SBT-based embedded nonvolatile FeRAM with a reference voltage scheme and multilayer shielded bit-line structure,” IEEE Journal of Solid-State Circuits (JSSC), vol. 40, no. 1, pp. 286-292, Jan. 2005.
[26] M. Qazi, et al., “A 3.4-pJ FeRAM-Enabled D Flip-Flop in 0.13-μm CMOS for Nonvolatile Processing in Digital Systems,” IEEE Journal of Solid-State Circuits (JSSC), vol. 49, no. 1, pp.202-211, Jan. 2014.
[27] M. F. Chang, et al., “Embedded 1Mb ReRAM in 28nm CMOS with 0.27-to-1V read using swing-sample-and-couple sense amplifier and self-boost-write-termination scheme,” IEEE International Solid-State Circuits Conference (ISSCC), pp. 332-333, 2014.
[28] M. F. Chang, et al., “A Low-Voltage Bulk-Drain-Driven Read Scheme for Sub-0.5 V 4 Mb 65 nm Logic-Process Compatible Embedded Resistive RAM (ReRAM) Macro,” IEEE Journal of Solid-State Circuits (JSSC), vol. 48, no. 9, pp. 2250-2259, Sept. 2013.
[29] M. F. Chang, et al., “Area-Efficient Embedded Resistive RAM (ReRAM) Macros Using Logic-Process Vertical-Parasitic-BJT (VPBJT) Switches and Read-Disturb-Free Temperature-Aware Current-Mode Read Scheme,” IEEE Journal of Solid-State Circuits (JSSC), vol. 49, no. 4, pp. 908-916, April 2014.
[30] N. Banno, et al., “A Fast and Low-Voltage Cu Complementary-Atom- Switch 1Mb Array with High-Temperature Retention,” IEEE Symposium on VLSI Technology, pp. 1-2, 2014.
[31] X. Xue, et al., “A 0.13 µm 8 Mb Logic-Based Cu Si O ReRAM With Self-Adaptive Operation for Yield Enhancement and Power Reduction,” IEEE Journal of Solid-State Circuits (JSSC), vol. 48, no. 5, pp. 1315-1322, May 2013.
[32] M. F. Chang, et al., “A High-Speed 7.2-ns Read-Write Random Access 4-Mb Embedded Resistive RAM (ReRAM) Macro Using Process-Variation-Tolerant Current-Mode Read Schemes,” IEEE Journal of Solid-State Circuits (JSSC), vol. 48, no. 3, pp. 878-891, March 2013.
[33] Y. Choi, et al., “A 20nm 1.8V 8Gb PRAM with 40MB/s Program Bandwidth,” IEEE International Solid-State Circuits Conference (ISSCC), pp. 46-48, 2012.
[34] H. Noguchi, et al., “4Mb STT-MRAM-based cache with memory-access-aware power optimization and write-verify-write / read-modify-write scheme,” IEEE International Solid-State Circuits Conference (ISSCC), pp. 132-133, 2016.
[35] D. Takashima, et al., “A 100MHz Ladder FeRAM Design with Capacitance-Coupled-Bitline (CCB) Cell,” IEEE Symposium on VLSI Circuits, pp. 227-228, 2010.
[36] W. Otsuka, et al., “A 4Mb conductive-bridge resistive memory with 2.3GB/s read-throughput and 216MB/s program-throughput,” IEEE International Solid-State Circuits Conference (ISSCC), pp. 210-211, 2011.
[37] Y. H. Tseng, et al., “High density and ultra small cell size of Contact ReRAM (CR-RAM) in 90nm CMOS logic technology and circuits,” IEEE International Electron Devices Meeting (IEDM), pp. 1-4, 2009.
[38] M. J. Lee, et al., “2-stack 1D-1R Cross-point Structure with Oxide Diodes as Switch Elements for High Density Resistance RAM Applications,” IEEE International Electron Devices Meeting (IEDM), pp. 771-774, 2007.
[39] H. Y. Lee, et al., “Low power and high speed bipolar switching with a thin reactive Ti buffer layer in robust HfO2 based RRAM,” IEEE International Electron Devices Meeting (IEDM), pp. 1-4, 2008.
[40] B. Gao, et al., “Oxide-based RRAM switching mechanism: A new ion-transport-recombination model,” IEEE International Electron Devices Meeting (IEDM), pp. 1-4, 2008.


[41] J. Lee, et al., “Diode-less nano-scale ZrOx/HfOx RRAM device with excellent switching uniformity and reliability for high-density cross-point memory applications,” IEEE International Electron Devices Meeting (IEDM), pp. 19.5.1-19.5.4, 2010.
[42] B. Lee, et al, “NiO resistance change memory with a novel structure for 3D integration and improved confinement of conduction path,” IEEE Symposium on VLSI Technology, pp. 28-29, 2009.
[43] K. Aratani, et al., “A Novel Resistance Memory with High Scalability and Nanosecond Switching,” IEEE International Electron Devices Meeting (IEDM), pp. 10-12, 2007.
[44] C. H. Wang, et al., “Three-dimensional 4F2 ReRAM cell with CMOS logic compatible process,” IEEE International Electron Devices Meeting (IEDM), pp. 29.6.1-29.6.4, 2010.
[45] S. Song, et al., “CMOS device scaling beyond 100 nm,” IEEE International Electron Devices Meeting (IEDM), pp. 235-238, 2000.
[46] J. Colinge, et al., “Physics of Semiconductior Devices,” Springer-Verlag, NY, pp. 175-182, 2002.
[47] T. Kobayashi, et al., “A current-controlled latch sense amplifier and a static power-saving input buffer for low-power architecture,” IEEE Journal of Solid-State Circuits (JSSC), vol. 28, no. 4, pp. 523-527, Apr 1993.
[48] M. F. Chang, et al., “An offset-tolerant current-sampling-based sense amplifier for Sub-100nA-cell-current nonvolatile memory,” IEEE International Solid-State Circuits Conference (ISSCC), pp. 206-208, 2011.
[49] M. Jefremow, et al., “Time-differential sense amplifier for sub-80mV bitline voltage embedded STT-MRAM in 40nm CMOS,” IEEE International Solid-State Circuits Conference (ISSCC), pp. 216-217, 2013.
[50] C. Kim, et al., “A covalent-bonded cross-coupled current-mode sense amplifier for STT-MRAM with 1T1MTJ common source-line structure array,” IEEE International Solid-State Circuits Conference (ISSCC), pp. 1-3, 2015.
[51] Q. Dong, et al., “A 1Mb embedded NOR flash memory with 39µW program power for mm-scale high-temperature sensor nodes,” IEEE International Solid-State Circuits Conference (ISSCC), pp. 198-199, 2017.
 
 
 
 
第一頁 上一頁 下一頁 最後一頁 top
* *