帳號:guest(18.118.93.4)          離開系統
字體大小: 字級放大   字級縮小   預設字形  

詳目顯示

以作者查詢圖書館館藏以作者查詢臺灣博碩士論文系統以作者查詢全國書目
作者(中文):廖信翔
作者(外文):Liao, Sin-Siang
論文名稱(中文):基於深度學習之數位電路功率模型建立
論文名稱(外文):Deep Learning-Based Power Modeling for Black-Box Digital Circuits
指導教授(中文):劉靖家
指導教授(外文):Liou, Jing-Jia
口試委員(中文):黃稚存
呂仁碩
口試委員(外文):Huang, Chih-Tsun
Liu, Ren-Shuo
學位類別:碩士
校院名稱:國立清華大學
系所名稱:電機工程學系
學號:104061558
出版年(民國):108
畢業學年度:107
語文別:英文
論文頁數:59
中文關鍵詞:深度學習功率估計功率模型建立
外文關鍵詞:deep learningpower estimationpower modeling
相關次數:
  • 推薦推薦:0
  • 點閱點閱:198
  • 評分評分:*****
  • 下載下載:0
  • 收藏收藏:0
準確且高效的高階功率估計對於設計前期階段的電路功率評估和優化是重要的。
先前許多方法著重於選擇關鍵信號或分析電路結構來得到功率和該電路內部特徵的關係,但是,這是黑盒(Black-Box)所沒有的。
這篇論文介紹基於深度學習(Deep Learning)的黑盒功率模型建立流程。為了能夠追蹤輸入與輸出序列與時間上對於功率的影響建立模型,我們提出了兩種不同且新穎的深層神經網路架構(Deep Neural Network Architectures)。
除此之外,因為神經網路的超參數(Hyperparameters)嚴重影響模型準確度和複雜度,而且搜尋最佳解相當花費時間。我們也提出了超參數選擇流程以平衡準確度和複雜度。
把我們的方法應用於14組電路範例,實驗結果表示我們的模型預測的功率消耗,平均每一個週期僅誤差2.42%(與商用邏輯閘層級功率估計工具相比),且模擬速度加快了4617倍。
Accurate and efficient high-level power estimation is crucial to evaluate and optimize circuits power in an early design stage.
Previously, many approaches focus on selecting key signals or analyze circuit structures to characterize power related to this inner-circuit features, which is, however, unavailable in black-box circuits.
This thesis introduces a deep learning-based power model building flow for black-box circuits. To track the sequence of the only input and output signals and model their temporal impacts on power, we propose two different and novel deep neural network architecture.
Besides, since the hyperparameters of neural networks impact heavily on both model accuracy and complexity and the search for the optimal solution is time-consuming, we also proposed a hyperparameter selection flow to balance accuracy and complexity.
Applying our approach to 14 circuit examples, the experimental results show that our model predicts power dissipation with only 2.42% per-cycle error on average (compared to a commercial gate-level power simulation tool) and with 4617× simulation speedup.
1 Introduction 6
1.1 Overview of Power Modeling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6
1.2 Power Model Syntheis Flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8
1.3 Thesis Organization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9
2 Related Works 10
2.1 RTL Power Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10
2.2 Power Emulation Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11
3 Neural Architectures for Power Modeling 13
3.1 Notation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13
3.2 Black-Box Power Modeling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13
3.3 Maxout+LSTM Network . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14
3.3.1 Model Formulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16
3.3.2 Maxout+LSTM Architecture . . . . . . . . . . . . . . . . . . . . . . . . . 16
3.4 Temporal Convolution Network . . . . . . . . . . . . . . . . . . . . . . . . . . . 18
3.4.1 Model Formulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18
3.4.2 Dilated Causal Convolutions . . . . . . . . . . . . . . . . . . . . . . . . . 18
3.4.3 Residual Connection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21
4 Model Selection Flow 23
4.1 Problem Formulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24
4.2 Proposed Model Selection Flow . . . . . . . . . . . . . . . . . . . . . . . . . . . 26
5 Benchmark Circuits 30
5.1 Tool Flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30
5.2 Detail Information of Benchmark Circuits . . . . . . . . . . . . . . . . . . . . . . 30
5.3 Data Pre-processing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34
6 Experimental Results 36
6.1 Experiment Setup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36
6.2 Evaluation Metric . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36
6.3 Pareto Front Profile of Maxout+LSTM and TCN . . . . . . . . . . . . . . . . . . 37
6.4 Result of Proposed Model Selection Flow . . . . . . . . . . . . . . . . . . . . . . 39
6.4.1 Validation of Coarse-grained and Fine-grained . . . . . . . . . . . . . . . 39
6.4.2 Evaluation of Model Selection Flow . . . . . . . . . . . . . . . . . . . . . 39
6.4.2.1 TCN Case Study . . . . . . . . . . . . . . . . . . . . . . . . . . 42
6.4.2.2 Maxout+LSTM Case Study . . . . . . . . . . . . . . . . . . . . 46
6.4.3 Modeling Time for Model Selection Flow . . . . . . . . . . . . . . . . . . 46
6.5 Model Evaluation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49
6.6 Monitor Internal Signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54
7 Conclusions 55
Bibliography 56
[1] Alessandro Bogliolo, Luca Benini, Giovanni De Micheli, Giovanni De Micheli, and Giovanni De Micheli, “Regression-based rtl power modeling”, ACM Trans. Des. Autom. Electron. Syst.,
vol. 5, no. 3, pp. 337–372, July 2000.
[2] Wen-Tsan Hsieh, Chih-Chieh Shiue, and C-NJ Liu, “A novel approach for high-level power modeling of sequential circuits using recurrent neural networks”, in 2005 IEEE International Symposium on Circuits and Systems. IEEE, 2005, pp. 3591–3594.
[3] Nikhil Bansal, Kanishka Lahiri, and Anand Raghunathan, “Automatic power modeling of infrastructure ip for system-on-chip power analysis”, in 20th International Conference on VLSI Design held jointly with 6th International Conference on Embedded Systems (VLSID’07). IEEE, 2007, pp. 513–520.
[4] Dongwook Lee, Lizy K John, and Andreas Gerstlauer, “Dynamic power and performance back-annotation for fast and accurate functional hardware simulation”, in 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE). IEEE, 2015, pp. 1126–1131.
[5] Dongwook Lee, Taemin Kim, Kyungtae Han, Yatin Hoskote, Lizy K. John, and Andreas Gerstlauer, “Learning-based power modeling of system-level black-box ips”, in Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, Piscataway, NJ, USA,
2015, ICCAD ’15, pp. 847–853, IEEE Press.
[6] Alessandro Danese, Graziano Pravadelli, and Ivan Zandon`a, “Automatic generation of power state machines through dynamic mining of temporal assertions”, in Proceedings of the 2016 Conference on Design, Automation & Test in Europe, San Jose, CA, USA, 2016, DATE ’16, pp. 606–611, EDA Consortium.
[7] Joel Coburn, Srivaths Ravi, and Anand Raghunathan, “Power emulation: A new paradigm for power estimation”, in Proceedings of the 42Nd Annual Design Automation Conference, New York, NY, USA, 2005, DAC ’05, pp. 700–705, ACM.
[8] Dam Sunwoo, Gene Y Wu, Nikhil A Patil, and Derek Chiou, “Presto: An fpga-accelerated power estimation methodology for complex systems”, in 2010 International Conference on Field Programmable Logic and Applications. IEEE, 2010, pp. 310–317.
[9] Christian Bachmann, Andreas Genser, Christian Steger, Reinhold Weiss, and Josef Haid, “Automated power characterization for run-time power emulation of soc designs”, in Proceedings of the 2010 13th Euromicro Conference on Digital System Design: Architectures, Methods and Tools, Washington, DC, USA, 2010, DSD ’10, pp. 587–594, IEEE Computer Society.
[10] Armin Krieg, Christian Bachmann, Johannes Grinschgl, Christian Steger, Reinhold Weiss, and Josef Haid, “Accelerating early design phase differential power analysis using power emulation techniques”, in 2011 IEEE International Symposium on Hardware-Oriented Security
and Trust. IEEE, 2011, pp. 81–86.
[11] Jianlei Yang, Liwei Ma, Kang Zhao, Yici Cai, and Tin-Fook Ngai, “Early stage real-time soc power estimation using rtl instrumentation”, in The 20th Asia and South Pacific Design
Automation Conference. IEEE, 2015, pp. 779–784.
[12] Benjamin A Bjørnseth, Asbjørn Djupdal, and Lasse Natvig, “A systematic approach to automated construction of power emulation models”, in 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE). IEEE, 2016, pp. 600–605.
[13] Sepp Hochreiter and J¨urgen Schmidhuber, “Long short-term memory”, Neural Comput., vol. 9, no. 8, pp. 1735–1780, Nov. 1997.
[14] Ian J. Goodfellow, David Warde-Farley, Mehdi Mirza, Aaron Courville, and Yoshua Bengio,“Maxout networks”, in Proceedings of the 30th International Conference on International
Conference on Machine Learning - Volume 28. 2013, ICML’13, pp. III–1319–III–1327, JMLR.org.
[15] Shaojie Bai, J. Zico Kolter, and Vladlen Koltun, “An Empirical Evaluation of Generic Convolutional and Recurrent Networks for Sequence Modeling”, arXiv e-prints, p. arXiv:1803.01271, Mar 2018.
[16] Aaron van den Oord, Sander Dieleman, Heiga Zen, Karen Simonyan, Oriol Vinyals, Alex Graves, Nal Kalchbrenner, Andrew Senior, and Koray Kavukcuoglu, “WaveNet: A Generative Model for Raw Audio”, arXiv e-prints, p. arXiv:1609.03499, Sep 2016.
[17] Kaiming He, Xiangyu Zhang, Shaoqing Ren, and Jian Sun, “Deep residual learning for image recognition”, in Proceedings of the IEEE conference on computer vision and pattern recognition, 2016, pp. 770–778.
[18] Nitish Srivastava, Geoffrey Hinton, Alex Krizhevsky, Ilya Sutskever, and Ruslan Salakhutdinov,“Dropout: A simple way to prevent neural networks from overfitting”, J. Mach. Learn. Res., vol. 15, no. 1, pp. 1929–1958, Jan. 2014.
[19] Brandon Reagen, Robert Adolf, Yakun Sophia Shao, Gu-Yeon Wei, and David Brooks, “MachSuite: Benchmarks for accelerator design and customized architectures”, in Proceedings of the IEEE International Symposium on Workload Characterization, Raleigh, North Carolina, October 2014.
[20] OpenCores, “SystemC/Verilog MD5”, https://opencores.org/projects/systemcmd5.
[21] Clifford Wolf, “PicoRV32 - A Size-Optimized RISC-V CPU”, https://github.com/cliffordwolf/picorv32.
[22] Reinhold P. Weicker, “Dhrystone: A synthetic systems programming benchmark”, Commun. ACM, vol. 27, no. 10, pp. 1013–1030, Oct. 1984.
[23] M. R. Guthaus, J. S. Ringenberg, D. Ernst, T. M. Austin, T. Mudge, and R. B. Brown, “Mibench: A free, commercially representative embedded benchmark suite”, in Proceedings
of the Workload Characterization, 2001. WWC-4. 2001 IEEE International Workshop, Washington, DC, USA, 2001, WWC ’01, pp. 3–14, IEEE Computer Society.
[24] OpenCores, “fast AES-128 Encryption only cores”, https://opencores.org/projects/aes-encryption.
[25] Joachim Strbergson, “Verilog implementation of the SHA-512 hash function.”, https://github.com/secworks/sha512.
[26] OpenCores, “JPEG Encoder Verilog”, https://opencores.org/projects/jpegencode.
[27] Alex Krizhevsky, “Learning multiple layers of features from tiny images”, University of Toronto, 05 2012.
[28] Xillinx, “Open Source HLx Examples”, https://github.com/Xilinx/HLx_Examples.
[29] Adam Paszke, Sam Gross, Soumith Chintala, Gregory Chanan, Edward Yang, Zachary DeVito, Zeming Lin, Alban Desmaison, Luca Antiga, and Adam Lerer, “Automatic differentiation in pytorch”, 2017.
[30] Kaiming He, Xiangyu Zhang, Shaoqing Ren, and Jian Sun, “Delving deep into rectifiers: Surpassing human-level performance on imagenet classification”, in Proceedings of the IEEE international conference on computer vision, 2015, pp. 1026–1034.
[31] Diederik P. Kingma and Jimmy Ba, “Adam: A method for stochastic optimization”, in International Conference on Learning Representations (ICLR), May 2015.
(此全文未開放授權)
電子全文
中英文摘要
 
 
 
 
第一頁 上一頁 下一頁 最後一頁 top
* *