帳號:guest(3.149.235.108)          離開系統
字體大小: 字級放大   字級縮小   預設字形  

詳目顯示

以作者查詢圖書館館藏以作者查詢臺灣博碩士論文系統以作者查詢全國書目
作者(中文):張天寧
作者(外文):Chang, Tien-Ning
論文名稱(中文):具玻璃基板扇出型晶圓級封裝結構參數分析及可靠度評估
論文名稱(外文):Reliability Assessment and Parametric Analysis of Fan-out Wafer-Level Packaging with Glass Substrate
指導教授(中文):江國寧
指導教授(外文):Chiang, Kuo-Ning
口試委員(中文):李昌駿
劉德騏
鄭仙志
口試委員(外文):Lee, Chang-Chun
Liu, De-Shin
Zheng, Xian-Zhi
學位類別:碩士
校院名稱:國立清華大學
系所名稱:動力機械工程學系
學號:104033568
出版年(民國):106
畢業學年度:105
語文別:中文
論文頁數:70
中文關鍵詞:扇出型封裝晶圓級封裝有限單元法可靠度預測影像感測元件
外文關鍵詞:Fan-Out PackageWafer Level PackageFinite Element MethodReliabilityOptical device
相關次數:
  • 推薦推薦:0
  • 點閱點閱:92
  • 評分評分:*****
  • 下載下載:16
  • 收藏收藏:0
近幾年,手持式電子裝置不斷朝著方便攜帶、多功能及高效能等需求發展,而其內部元件則是以高密度與高效能為目標。封裝技術也由早期的DIP (Dual in-line Packaging)、SOP/TSOP (Small Outline Packaging / Thin Small Outline Packaging)、QFP/TQFP (Quad Flat Packaging / Thin Quad Flat Packaging)與BGA(Ball Grid Array)等發展至高密度要求的覆晶(Flip Chip, FC)、晶片尺寸封裝(Chip Scale Packaging, CSP)、晶圓級封裝(Wafer Level Packaging, WLP)、三維堆疊封裝(3D Stacked Package)、扇出型(Fan-Out)及系統式封裝(System-in-Packaging, SiP)等新型封裝技術。
本篇論文討論用於互補式金屬氧化物半導體(Complementary Metal-Oxide-Semiconductor, CMOS)影像感測裝置的封裝。隨著影像畫數需求越來越高,研發者們也在尋找方法在有限的空間內盡可能放入更多像素(pixels)的封裝體。與平面網格陣列封裝(Land Grid Array, LGA)相比,WLCSP的技術提供了可進一步縮小封裝體積的方法。其中,ShellCase WLCSP是一種用於COMS Image sensor (CIS)的封裝結構,對小體積而言,其優點為封裝後體積小且可靠度高。雙面的玻璃基板封裝提供了良好的保護,但本結構如用於大型晶片,在連接到印刷電路板(Print Circuit Board, PCB)後會遇到可靠度過低的問題,也就是高解析度的晶片受限於此類封裝結構。本研究是發展一種適用於較大型晶片的新型玻璃基板封裝結構,並使用有限元素法模擬結構進行設計,並與WLCSP對比其可靠度。
熱循環負載試驗(Thermal cycling test)是一種用來測試封裝可靠度的方式,透過給予測試載具比實際使用更嚴苛的環境,加快其破壞速度,但須通過法規上的疲勞壽命以確保封裝結構在正常使用下可滿足產品壽命。本論文中使用有限元素法,模擬結構受熱循環試驗下所承受的附載,並採用Coffin-Manson經驗式,代入等效塑性應變增量預測錫銀銅焊料合金錫球之壽命。
本研究配合預測壽命之方法對結構可靠度進行分析,並討論各結構尺寸對壽命之影響。文中提供扇出型封裝結構中錫球焊盤尺寸、錫球配置、晶片厚度與應力緩衝層厚度對可靠度之影響。研究結果可提供扇出型封裝結構設計參考。
關鍵詞: 扇出型封裝、晶圓級封裝、有限單元法、可靠度預測、影像感測元件。
In recent years, while handheld electronic devices keep on progressing to reach more functions, higher efficiency and easier for carry, the components inside take high density and efficiency as target. The structure of electric packaging developed from conventional DIP (Dual in-line Packaging), SOP/TSOP (Small Outline Packaging / Thin Small Outline Packaging), QFP/TQFP (Quad Flat Packaging / Thin Quad Flat Packaging) and BGA(Ball Grid Array) to high density package such as Flip Chip, CSP(Chip Scale Packaging), WLP (Wafer Level Packaging), 3D Stacked Package, Fan-Out package, System-in-Packaging(SiP), etc.
This paper discusses the advanced packaging using in CMOS (Complementary Metal-Oxide-Semiconductor) image sensor. As the demand for higher resolution electric device grows, manufacturers are seeking ways to put as much as possible pixels inside a package with using less and less space. Compare with land grid array (LGA) type packaging, WLCSP technology provide a way to shrink the package size further. ShellCase WLCSP is one of the types with good reliability and small packaging size used in image sensor. But the double side glass structure might have reliability problem while building with large die size. Means the number of pixel will be constrained by chip size. Trying to design a packaging can used for large die size is the main propose of this paper. Finite Element Method will be used to simulate and design the Fan-Out packaging structure and compare the reliability result with ShellCase WLCSP.
Thermal cycling test is a method which is currently used to characterize the reliability performance of electronic packaging. By providing a thermal condition stricter than usually use, an accelerated failure life can attend. Once the accelerated failure life passed the stander, the package can reach the demand of product life. In this research, Finite element method is applied to simulate the structure status under thermal loading conditions. And Coffin-Manson life prediction model is used to predict the reliability of SAC305 by applying equivalent plastic strain.
In this research, life prediction method is used to analyses the reliability of package structure. The dimensions of structure in package related to reliability are discussed such as pad size arrangement, solder joint arrangement, chip thickness, stress buffer layer thickness. And the result can provide a guideline for Fan-Out package design.
Keywords: Fan-Out Package, WLP, Finite Element Method, Reliability, Optical device.
摘要...................................I
Abstract.............................III
目錄...................................V
圖目錄...................................VIII
表目錄...................................VIII
第一章 緒論...................................1
1.1 簡介...................................1
1.2 研究動機...................................2
1.3 文獻回顧...................................3
1.4 研究目標...................................6
第二章 基礎理論...................................7
2.1 錫球外型預測...................................7
2.2 有限元素法基礎理論...................................10
2.2.1 線彈性有限元素理論...................................10
2.2.2 材料非線性理論...................................15
2.2.3 數值方法及收斂準則...................................19
2.3 硬化法則...................................21
2.3.1 等向硬化法則...................................22
2.3.2 動態硬化法則...................................22
2.4 潛變理論...................................23
2.4.1 潛變變型機制...................................24
2.4.2 Garofalo-Arrhenius潛變模型.............................25
2.4.3 Anand 模型...................................26
2.5 Chaboche 模型...................................28
2.6 封裝結構可靠度之預測方法...................................31
2.6.1 Coffin-Manson應變法...................................31
2.6.2 Darveaux 能量密度法...................................31
2.6.3 修正型能量密度法...................................32
第三章 有限元素模型之驗證..............34
3.1 測試載具結構..................35
3.2 二維有限元素模型建立...........37
3.3 材料參數之設定................41
3.4 邊界條件設定..................42
3.5 溫度負載設定..................43
3.6 各載具有限元模擬結果...........44
第四章 扇出型封裝結構之可靠度評估......46
4.1 玻璃基板扇出型封裝結構.........46
4.2 有限元素模型之建立.............48
4.3 玻璃基板型封裝結構參數分析......50
4.3.1 錫球上下接點尺寸對可靠度之影響..50
4.3.2 扇出扇入型封裝可靠度比較........55
4.3.3 晶片厚度影響...................57
4.3.4 應力緩衝層厚度對可靠度之影響....59
4.4 玻璃基板扇出型封裝可靠度評估....61
第五章 結論與未來展望..................63
參考文獻..............................66

[1] L. F. Coffin, "A study of the effects of cyclic thermal stresses on a ductile metal." Tarnsactions-American Society of Mechanical Engineers Journal of Electronic Packaging 76 (1954): 931-950.
[2] S. S. Manson, "Behavior of materials under conditions of thermal stress." National Advisory Committee for Aeronautics-Techncal Note 2933, pp.317-350, 1953.
[3] R. Darveaux, K. Banerji, A Mawer, and G. Dody, “Reliability of plastic ball grid array assembly”, Ball Grid Array Technology, Edited by J. Lau, McGraw-Hill, Inc. (New York, 1995).
[4] R, Darveaux, "Effect of simulation methodology on solder joint crack growth correlation." Electronic Components & Technology Conference, 2000. 2000 Proceedings. 50th. IEEE, 2000.
[5] K. C. Wu, C. H. Lee, and K. N Chiang. "Characterization of thermal cycling ramp rate and dwell time effects on AF (Acceleration Factor) estimation." Electronic Components and Technology Conference (ECTC), 2016 IEEE 66th. IEEE, 2016.
[6] K. C. Wu, et al. "Reliability assessment of packaging solder joints under different thermal cycle loading rates." IEEE Transactions on Device and Materials Reliability 15.3 (2015): 437-442.
[7] R. Darveaux, "Effect of simulation methodology on solder joint crack growth correlation and fatigue life prediction." Tarnsactions-American Society of Mechanical Engineers Journal of Electronic Packaging 124.3 (2002): 147-154.
[8] A. Badihi, “Ultrathin wafer level chip size package,” IEEE Transactions on Advanced Packaging, Vol. 23, NO. 2, pp. 212-214, May 2000.
[9] C. Y. Chen, Y. C. Chao, D. S. Liu, Z. W. Zhuang. “Design of a novel chip on glass package solution for CMOS image sensor device.” Microelectronics Reliability, Vol. 46, NO. 8, pp. 1326-1334. 2006.
[10] X. Qin, N. Kumbhat, V. Sundaram and R. Tummala, “Reliability modeling of silicon or glass interposers to printed wiring board interconnections”, Electronic Packaging Technology and High Density Packaging (ICEPT-HDP), 2011 12th International Conference on. IEEE, 2011., pp. 12-16, 2011.
[11] Y. S. Chan, and S. W. R. Lee, “Detailed investigation on the creep damage accumulation of lead-free solder joints under accelerated temperature cycling,” Thermal, Mechanical & Multi-Physics Simulation, and Experiments in Microelectronics and Microsystems (EuroSimE). 11th, Bordeaux, France, April 26-28, 2010.
[12] J. Lau, W. Danksher, and P. Vianco, “Acceleration models, constitutive equations, and reliability of lead-free solders and joints,” Electronic Components and Technology Conference, Proceedings 53rd, New Orleans, Louisiana, USA May 27-30, 2003.
[13] X. Yan, and G. Li, “Study of thermal fatigue lifetime of fan-in package on package (FiPoP) by finite element analysis,” Electronic Packaging Technology and High Density Packaging, Shanghai, China, Aug 10-13, 2009.
[14] K. N. Chiang and C. A. Yuan, “An overview of solder bump shape prediction algorithms with validations” [J]. IEEE Transactions on Advanced Packaging, Vol. 24, NO. 2, pp. 158-162, 2001.
[15] W. H. Chen, S. R. Lin, K. N. Chiang, “Predicting the liquid formation for the solder joints in flip chip technology.” Journal of Electronic Packaging, Vol. 128, NO. 4, pp. 331-338, 2006.
[16] K. N. Chiang, H. C. Cheng, and W. H. Chen, "Large-Scaled 3-D area array electronic packaging analysis", Journal of Computer Modeling and Simulation in Engineering, Vol. 4, No.1, pp.4-11, 1999.
[17] P. L. Wu, P. H. Wang, M. H. Hsu, and K. N. Chiang, “Finite element mesh size effect for reliability assessment of WLCSP using different creep theories” International Microsystems, Packaging, Assembly and Circuits Technology Conference (IMPACT) 2016, Taipei, Taiwan, October 26-28
[18] L. S. Goldmann, “Geometry optimization of controlled collapse interconnections,” IBM Journal of Research and Development, Vol 13, pp. 251-265, May1969.
[19] S. M. Heinrich, M. Schaefer, S. A. Schroeder, and P. S. Lee, “Peidiction of solder joint geomertry on array-type interconnections,” American Society of Mechanical Engineers Journal of Electronic Packaging, Vol. 118, pp. 114-121, 1996.
[20] K. A. Brakke, “Surface Evolver Manual,” version 2.01 Minneapolis, MN: The Geometry Center, 1996.
[21] L. Li and B. H. Yeung, “Wafer Level and Flip Chip Design Through Solder Prediction Models and Validation,” IEEE Transactions on Components and Packaging Technologies, Vol. 24, NO. 4, pp. 650-654, 2001.
[22] B. H. Yeung, T. T. Lee, “Evaluation and optimization of package processing and design through solder joint profile prediction,” IEEE Transactions on Advanced Packaging, Vol. 26, NO. 1, pp. 68–74, 2003.
[23] K. J. Bathe, Finite Element Procedures in Engineering Analysis: Prentice Hall, 1982.
[24] S. Timoshenko, Theory of Elasticity: Mcgraw-Hill College, 1970.
[25] C. L. Dym, and I. H. Shames, Solid Mechanics: A Variational Approach, Augmented Edition: Springer, 2013.
[26] W. N. Findley, J. S. Lai, and K. Onaran, Creep and relaxation of nonlinear viscoelastic materials, with an introduction to linear viscoelasticity: Amsterdam ; New York : North-Holland Pub. Co. : sole distributors for the U.S.A. and Canada, Elsevier/North Holland, 1976.
[27] R. D. Cook, D. S. Malkus, M. E. Plesha, and R. J. Witt, Concepts and Applications of Finite Element Analysis: Wiley; 4th edition 2001.
[28] J. Chakrabarty, Theory of Plasticity: Butterworth-Heinemann; 3th edition, 2006.
[29] N. E. Dowling, Mechanical Behavior of Materials: Engineering Methods for Deformation, Fracture, and Fatigue, Upper Saddle River, New Jersey: Prentice-Hall, Inc, 1999.
[30] G. Z. Wang, Z. N. Cheng, K. Becker, and J. Wilde, “Applying Anand model to represent the Viscoplastic Deformation Behavior of Solder Alloys,” ASME Journal of Electronic Packaging, Vol. 123, pp. 247-253, 2001.
[31] Frost, and Ashby, Deformation Mechanism Maps: Pergamon Press, 1982.
[32] H. Ma, and J. Suhling, “A review of mechanical properties of lead-free solders for electronic packaging,” Journal of Materials Science, Vol. 44, pp. 1141-1158, 2009.
[33] L. Anand, “Constitutive equations for hot-working of metals,” International Journal of Plasticity, Vol. 1, pp. 213-231, 1985.
[34] S. B. Brown, K. H. Kim, and L. Anand, “An internal variable constitutive model for hot working of metals,” International Journal of Plasticity, Vol. 5, pp. 95-130, 1989.
[35] F. Garofalo, Fundamentals of creep and creep-rupture in metals, New York: Macmillan Company, 1965.
[36] Dieter, George E. (1988). Mechanical Metallurgy. McGraw Hill Book Company. pp. 236,237.
[37] J. L. Chaboche, “Constitutive equations for cyclic plasticity and cyclic viscoplasticity,” International Journal of Plasticity, Vol. 5, pp. 247-302, 1989.
[38] J. L. Chaboche, “On some modifications of kinematic hardening to improve the description of ratchetting effects,” International Journal of Plasticity, Vol. 7, pp. 661-678, 1991.
[39] S. Wippler, and M. Kuna, “Experimental and numerical investigation on the reliability of leadfree solders,” Engineering Fracture Mechanics, Vol. 75, pp. 3534-3544, 2008.
[40] L. F. Coffin, “A study of the effects of cyclic thermal stress on a ductile metal,” Transactions ASME, Vol. 76, pp. 931-950, 1954.
[41] S. S. Manson, Thermal stress and low cycle fatigue, pp. 125-192, New York: McGraw-Hill, 1966.
[42] Y. Gu, and T. Nakamura, “Interfacial delamination and fatigue life estimation of 3D solder bumps in flip-chip packages,” Microelectronics Reliability, Vol. 44, pp. 471-483, 2004.
[43] R. Darveaux, “Effect of Simulation Methodology on Solder Joint Crack Growth Correlation and Fatigue Life Prediction,” Journal of Electronic Packaging, Vol. 124, pp. 147-154, 2002.
[44] 吳凱強,先進封裝錫球接點於不同溫度循環負載速率下之可靠度評估,國立清華大學動力機械工程學系,博士論文,2016.
[45] M. C. Hsieh and S. L. Tzeng, "Solder joint fatigue life prediction in large size and low cost wafer-level chip scale packages," in Electronic Packaging Technology (ICEPT), IEEE, 2015, pp. 496–501
[46] M. C. Hsieh, "Modeling correlation for solder joint fatigue life estimation in wafer-level chip scale packages," in International Microsystems, Packaging, Assembly and Circuits Technology Conference (IMPACT), Oct. 2015, pp. 65–68.
[47] B. Rogers and C. Scanlan, "Improving WLCSP Reliability Through Solder Joint Geometry Optimization", International Symposium on Microelectronics, vol. 2013, no. 1, pp. 546-550, 2013.
[48] M. Motalab, M. Mustafa, J. C. Suhling, J. Zhang, J. Evans, M. J. Bozack, & P. Lall, "Thermal Cycling Reliability Predictions for PBGA Assemblies That Include Aging Effects." ASME 2013 International Technical Conference and Exhibition on Packaging and Integration of Electronic and Photonic Microsystems. San Francisco, USA , 2013.

 
 
 
 
第一頁 上一頁 下一頁 最後一頁 top
* *