帳號:guest(3.137.182.116)          離開系統
字體大小: 字級放大   字級縮小   預設字形  

詳目顯示

以作者查詢圖書館館藏以作者查詢臺灣博碩士論文系統以作者查詢全國書目
作者(中文):艾 里
作者(外文):Kurniawan, Erry Dwi
論文名稱(中文):高遷移率和量子井半導體奈米電子元件的三維模擬研究
論文名稱(外文):Study of High Mobility and Quantum Well Semiconductor Nanoelectronics Devices by 3D TCAD Simulation
指導教授(中文):吳永俊
指導教授(外文):Wu, Yung-Chun
口試委員(中文):李耀仁
張廖貴術
胡心卉
李敏鴻
口試委員(外文):Lee, Yao-Jen
ChangLiao, Kuei-Shu
Hu, Hsin-Hui
Lee, Ming-Hung
學位類別:博士
校院名稱:國立清華大學
系所名稱:工程與系統科學系
學號:103011867
出版年(民國):107
畢業學年度:106
語文別:英文
論文頁數:127
中文關鍵詞:N5邏輯元件錐形鰭式場效電晶體奈米薄片場效電晶體鍺量子井鰭式場效電晶體砷化銦鎵鰭式場效電晶體矽鍺穿隧場效電晶體
外文關鍵詞:N5 logic devicetapered FinFETnanosheet FETGe-cap quantum well FinFETInGaAs FinFETGeSi tunnel FET
相關次數:
  • 推薦推薦:0
  • 點閱點閱:49
  • 評分評分:*****
  • 下載下載:0
  • 收藏收藏:0
在過去的三十年中,半導體產業大量將電晶體的小型化。根據摩爾定律,電晶體尺寸的縮小使得在晶片上可放置超過數億個電晶體。積體電路(IC)的多樣化且增加的功能和降低的成本讓半導體產業和用戶帶來了許多優勢。電晶體的微縮帶來了一些好處,例如:製造成本低,數據速度快,器件尺寸小並且能夠同時執行多個任務。隨著電晶體縮小到10nm技術節點,以矽為基礎的元件已經達到了基本的物理極限。創新的三維結構,如double、triple、 fin-type、nanosheet和nanowire(FET)也引起了眾多公司極大的興趣。新穎結構,例如 tunnel FET和Junctionless FET被開發出來。在通道材料方面,高遷移率通道材料(如Ge和III-V材料,如InGaAs)被認為是最有希望能取代Si材料,因為它們的遷移率大於Si的遷移率。
因此,本文研究了未來電晶體技術的可能性。我們將研究分為五個部分。第一部分,我們研究了錐形矽FinFET的鰭片形狀對5-nm節點CMOS技術中元件性能的影響。模擬結果表明,增加鰭片高度可以有效地提高飽和電流。然而,更高的鰭高度意味著更大的高寬比,因此在製造過程中不容易製造。另一方面,通過加寬底部鰭寬度也可以改善飽和電流。然而,它可能不是一個好的選擇,因為為外延源和漏極提供了更大的橫截面元件區域。調整頂部鰭寬可能是獲得當前增益的最佳選擇。此外,閾值電壓沒有顯著影響,並且有利於源極 - 漏極外延。通過仔細控制鰭片高度和頂部鰭片寬度,可以非常好地預測元件性能。基於以上結果,摩爾定律甚至可以工作10nm節點CMOS技術。
第二部分,我們研究了三種器件模式下的新型納米片(NS) FET器件結構:使用3D TCAD於次5nm技術節點的反轉模式(IM),累積模式(AC)和無結模式(JL)模擬。使用12nm的柵極長度(LG),EOT 0.8 nm和三個垂直堆疊的通道來模擬器件。仿真結果表明,IM,AC和JL NSFET的有效驅動電流(IEFF)相互之間相似。然而,小信號AC分析表明JL NSFET的總閘極電容小於IM和AC器件。 JL NSFET的柵極中的串連空乏區電容和氧化物電容可以減小總閘極電容。 JL NSFET的載流子集中在NS溝道層的中心並形成部分空乏。降低電容可以有效地將CMOS反向器的時間延遲提高4%左右。這些特性表明,JL NSFET可以交替使用,以提高器件性能,同時為預期的高性能邏輯器件應用提供更小的電容。
第三部分,我們提出使用Ge-cap量子井(QW)FinFET進行5nm CMOS電路模擬,這是一個Si通道,在鰭片通道的三個側面包裹著Ge。模擬結果表明,Ge-cap FinFET結構表現出比純Si,純Ge和Si-cap FinFET結構更好的性能。通過優化Si鰭片寬度和Ge-cap厚度,nFET和pFET的導通電流可不透過改變總鰭片寬度(FWp = FWn)也可以是對稱的。由於在Ge和Si異質結構的最低導帶中形成QW,Ge-cap nFinFET中的電子集中在Si溝道中,而Ge-cap pFinFET中的電洞由於在Ge價中形成的QW而優選留在Ge表面中。帶。該器件的物理研究使得設計規則與CMOS反向器和SRAM應用技術的應用相關。
第四部分,我們利用三維TCAD模擬研究了Si基板上垂直堆疊InGaAs / InAlAs / InP雙量子阱(DQW)FinFET的性能。 In0.53Ga0.47As和In0.52Al0.48As分別用作量子阱和阻擋材料。兩種材料都與Si基板上的InP緩衝層材料晶格匹配。該器件的閘極長度(LG)為15nm,閘極堆疊(Al2O3 / HfO2)為1nm / 2nm(EOT~0.75 nm)。模擬結果表明,與單量子阱(SQW)器件相比,採用雙通道量子阱(超晶格結構)可以提高飽和電流。 DWQ的電子密度傾向於在InGaAs層中定位為量子阱通道,因此允許更好地控制電子行為。元件特性表明,Si基板上的DQW FinFET可以交替使用,以增強元件性能,適用於未來的高性能和低功耗邏輯器件應用。
最後一部分,我們研究了非常陡的次臨界擺幅斜率元件,隧道FET(TFET)。 TFET中的載波通過帶間隧穿(BTBT)機制從源極到汲極傳輸。為了實現TFET的高飽和電流,它關鍵取決於傳輸概率TWKB。我們採用非局域BTBT模型,通過包括量子效應模擬,應用於閘極長度為10nm的三維Ge-Si異質結TFET,與Si TFET相比。結果表明,由於較低的帶隙和較大的隧道窗口,Ge-Si TFET優於Si TFET。在導通狀態下,Ge-Si TFET的BTBT產生率高於Si TFET。最高的BTBT生成速率位於源極和通道交界點,其峰值靠近柵極電介質。
Over the last three decades, the semiconductor industry has availed massively from the  transistor miniaturization. The shrinking of transistor dimensions enables more than hundreds of million transistors to be placed on a single chip as Moore's law observed. The increased functionality and reduced cost of the enormous diversification of integrated circuits (IC) have brought its own advantages to the semiconductor industry and end users. Some of the major benefits gained as a result of transistor scaling are a low cost of fabrications, increased data speed, small device dimension, and the capability to carry out multiple tasks simultaneously. As the transistor scaling down to 10 nm technology node, the silicon-based FET had reached the fundamental physics and process technology limits. Innovative three-dimensional structures such as double-, triple-, fin-type, nano-sheet, and nanowire field-effect transistors (FET) have been also of great interests. Novel nanodevices structure, such as tunnel FET, Junctionless FET have been also developed. On the channel materials perspective, high mobility channel materials such as germanium and III-V materials, like InGaAs are believed to be the most promising candidates because their bulk mobility is larger than that of silicon.
Therefore, we study the possibility of future transistor technology in this dissertation. We divided our study into five parts. The first part, we investigated the effect of fin shape of tapered Silicon FinFETs on the device performance in 5-nm node CMOS technology. The simulation results show that increasing the fin-height can enhance the saturation current effectively. However, a higher fin-height means that a larger aspect ratio, thus it is not easy to fabricate in the manufacturing process. On the other hand, the saturation current also can be improved by widening the bottom fin-width. Nevertheless, it may not be a good choice because lets a larger cross-section device area for epitaxy source and drain. Tuning the top fin-width may be the best choice to have a current gain. Moreover, the threshold voltage has no significant impact and it is good for source-drain epitaxy. By careful control of the fin-height and top fin-width, the device performance can be predicted very well. As the results, Moore's law still can work even 5-nm node CMOS technology.
The second part, we study novel Nanosheet (NS) FET device structure in three device modes: Inversion Mode (IM), Accumulation Mode (AC), and Junctionless Mode (JL) for the potential sub-5-nm technology node using 3D TCAD simulation. The devices are simulated with gate lengths (LG) of 12 nm, EOT 0.8 nm, and three vertically stacked channels. The simulation result shows that the effective drive current (IEFF) of IM, AC, and JL NSFET are relatively similar to each other. However, the small signal AC analysis shows that the total gate capacitance of JL NSFET is smaller than IM and AC devices. The series of depletion and oxide capacitance in the gate of JL NSFET can reduce the total gate capacitance. The carriers of JL NSFET concentrate in the center of NS channel layers and forms partial depletion. Reducing the capacitance can effectively improve the intrinsic time delay of CMOS inverter around 4%. These characteristics indicate that the JL NSFET can be alternated to enhance the device performance with less capacitance for prospective high-performance logic device applications.
The third part, we propose the use of Ge-cap quantum-well (QW) bulk FinFET for 5 nm CMOS integration, which is a Si channel wrapped with Ge around three sides of the fin channel. The simulation results show that the Ge-cap FinFET structure demonstrates better performance than pure Si, pure Ge, and Si-cap FinFET structures. By optimizing Si fin width and Ge-cap thickness, the on-state current of nFET and pFET can also be symmetric without changing the total fin width (FWp = FWn). The electrons in Ge-cap nFinFET concentrate in the Si channel because of QWs formed in the lowest conduction band of the Ge and Si heterostructure, while the holes in Ge-cap pFinFET prefer to stay in Ge surfaces owing to QWs formed in the Ge valence band. The physics studies of this device have made the design rules relevant to the application of the CMOS inverter and SRAM application technology.
The fourth part, we study the performance of vertically stacked InGaAs/InAlAs/InP Double Quantum Well (DQW) FinFETs on Si substrate using 3D TCAD simulation. In0.53Ga0.47As and In0.52Al0.48As were used as the quantum well and the barrier material, respectively. Both materials are lattice matched to the InP buffer layer material on the Si substrate. The device is simulated with gate lengths (LG) of 15 nm and gate stack (Al2O3/HfO2) of 1nm/2nm (EOT~ 0.75nm). The simulation results reveal that by using double channel quantum well (superlattice structure) can enhance the saturation current compared to Single Quantum Well (SQW) device. The electron density of DWQ prefers to localize in the InGaAs layer as the quantum well channel, thus allows greater control over the electron behavior. The device characteristics indicate that the DQW FinFET on Si substrate can be alternated to enhance the device performance for future high-performance and low-power logic device applications.
The last part, we investigated very steep inverse subthreshold swing slope devices, Tunnel FET (TFET). The carriers in TFET transport from source to channel by the band-to-band tunneling (BTBT) mechanisms. To realize high saturation currents of TFET, it critically depends on the transmission probability, TWKB. We employed the nonlocal BTBT model applied to three-dimensional Ge-Si heterojunction TFET with gate length 10 nm compared with Si TFET by including quantum effects simulation. The results show that the Ge-Si TFET outperforms Si TFET because of the lower band gap and larger tunneling windows. BTBT generation rates of Ge-Si TFET are higher than Si TFET in the on-state condition. The highest BTBT generation rates are located in the source and channel junction and its peaks close to the gate dielectric.
中文摘要 iv
Abstract viii
Acknowledgement xii
Contents xiii
List of Tables xv
List of Figures xvi
Chapter 1 Introduction 1
1-1 Logic Device Scaling Challenges and Opportunities 1
1-2 Device Structures in Advanced Nano-Transistor 6
1-2-1 Multi-Gate (MuG) FET 9
1-2-2 Tunnel FET (TFET) 11
1-2-3 Junctionless (JL) FET 14
1-3 High Mobility Channel Material 19
1-3-1 Germanium 19
1-3-2 III-V materials 20
1-4 TCAD Simulation 22
1-5 Motivation 22
1-6 Thesis Objectives and Organization 22
Chapter 2 Tapered Si FinFET 25
2-1 Literature Review 25
2-2 Simulation Approach 27
2-2-1 Device Structure 27
2-2-2 Simulation Model 29
2-3 Results and Discussion 30
2-3-1 Effect of Variation Fin-Height (FH) 31
2-3-2 Effect of Variation Top Fin-Width (FWT) 32
2-3-3 Physical Properties Analysis of Different FWT and FH 34
2-3-4 Device Parameter Variation of Different FWT and FH 38
2-4. Summary 40
Chapter 3 Stacked Nanosheet FET 41
3-1 Literature Review 41
3-2 Device Structure and Simulation Model 43
3-3 Results and Discussion 46
3-3-1 Static Performances 46
3-3-2 Dynamic Performances 52
3-4 Conclusion 58
Chapter 4 Ge-cap Quantum Well FinFET 59
4-1 Literature Review 59
4-2 Device structure and simulation models 62
4-3 Results and discussion 64
4-4 Summary 75
Chapter 5 InGaAs FinFET on Si Substrate 76
5-1 Literature Review 76
5-2 Device Structure and Simulation Approach 78
5-3 Results and Discussion 81
5-4 Summary 87
Chapter 6 Ge-Si Heterojunction Nanowire Tunnel FET 88
6-1 Literature Review 88
6-2 Band-to-Band Tunneling (BTBT) Theory 89
6-3 Simulation Methods 92
6-4 Results and Discussions 94
6-5 Summary 102
Chapter 7 Conclusions and Future Works 103
7-1 Conclusions 103
7-2 Future Works 103
References 104
簡歷 123
著作目錄 (Publication list) 126
[1] K. Mistry, C. Allen, C. Auth, B. Beattie, D. Bergstrom, M. Bost, et al., "A 45nm logic technology with high-k plus metal gate transistors, strained silicon, 9 Cu interconnect layers, 193nm dry patterning, and 100% Pb-free packaging," in IEEE International Electron Devices Meeting (IEDM), Technical Digest, 2007, pp. 247-250. doi:10.1109/IEDM.2007.4418914.
[2] M. Rodder and D. Yeakley, "Raised Source Drain Mosfet with Dual Sidewall Spacers," IEEE Electron Device Letters, vol. 12, pp. 89-91, Mar 1991. doi:10.1109/55.75721.
[3] Y. K. Choi, D. W. Ha, T. J. King, and C. M. Hu, "Nanoscale ultrathin body PMOSFETs with raised selective germanium source/drain," IEEE Electron Device Letters, vol. 22, pp. 447-448, Sep 2001. doi:10.1109/55.944335.
[4] H. J. Huang, K. M. Chen, C. Y. Chang, L. P. Chen, G. W. Huang, and T. Y. Huang, "Reduction of source/drain series resistance and its impact on device performance for PMOS transistors with raised Si1-xGex source/drain," IEEE Electron Device Letters, vol. 21, pp. 448-450, Sep 2000. doi:10.1109/55.863107.
[5] M. Saitoh, Y. Nakabayashi, K. Uchida, and T. Numata, "Short-Channel Performance Improvement by Raised Source/Drain Extensions With Thin Spacers in Trigate Silicon Nanowire MOSFETs," IEEE Electron Device Letters, vol. 32, pp. 273-275, Mar 2011. doi:10.1109/LED.2010.2101043.
[6] L. Witters, J. Mitard, R. Loo, G. Eneman, H. Mertens, D. P. Brunco, et al., "Strained Germanium Quantum Well pMOS FinFETs Fabricated on in situ Phosphorus-Doped SiGe Strain Relaxed Buffer Layers Using a Replacement Fin Process," in IEEE International Electron Devices Meeting (IEDM), Technical Digest, 2013, pp. 534-537. doi:10.1109/IEDM.2013.6724669.
[7] G. L. Wang, A. Abedin, M. Moeen, M. Kolandouz, J. Luo, Y. L. Guo, et al., "Integration of highly-strained SiGe materials in 14 nm and beyond nodes FinFET technology," Solid-State Electronics, vol. 103, pp. 222-228, Jan 2015. doi:10.1016/j.sse.2014.07.008.
[8] D. Guo, G. Karve, G. Tsutsui, K. Y. Lim, R. Robison, T. Hook, et al., "FINFET Technology Featuring High Mobility SiGe Channel for 10nm and Beyond," in Symposium on VLSI Technology (VLSI Technology), Technical Digest, 2016, pp. 1-2. doi:10.1109/VLSIT.2016.7573360.
[9] P. Hashemi, T. Ando, K. Balakrishnan, E. Cartier, M. Lofaro, J. A. Ott, et al., "Replacement High-K/Metal-Gate High-Ge-Content Strained SiGe FinFETs with High Hole Mobility and Excellent SS and Reliability at Aggressive EOT similar to 7 angstrom and Scaled Dimensions Down to Sub-4nm Fin Widths," in Symposium on VLSI Technology (VLSI Technology), Technical Digest, 2016, pp. 1-2. doi:10.1109/VLSIT.2016.7573392.
[10] P. Hashemi, K. L. Lee, T. Ando, K. Balakrishnan, J. A. Ott, S. Koswatta, et al., "Demonstration of Record SiGe Transconductance and Short-Channel Current Drive in High-Ge-Content SiGe PMOS FinFETs with Improved Junction and Scaled EOT," in Symposium on VLSI Technology (VLSI Technology), Technical Digest, 2016, pp. 1-2. doi:10.1109/VLSIT.2016.7573370.
[11] T. Ghani, M. Armstrong, C. Auth, M. Bost, P. Charvat, G. Glass, et al., "A 90nm high volume manufacturing logic technology featuring novel 45nm gate length strained silicon CMOS transistors," in IEEE International Electron Devices Meeting (IEDM), Technical Digest, 2003, pp. 978-980. doi:10.1109/IEDM.2003.1269442.
[12] K. Mistry, M. Armstrong, C. Auth, S. Cea, T. Coan, T. Ghani, et al., "Delaying forever: Uniaxial strained silicon transistors in a 90nm CMOS technology," in Symposium on VLSI Technology (VLSI Technology), Technical Digest, 2004, pp. 50-51. doi:10.1109/VLSIT.2004.1345387.
[13] C. Fenouillet-Beranger, P. Perreau, P. Boulenc, L. Tosti, S. Barnola, F. Andrieu, et al., "Parasitic bipolar impact in 32 nm undoped channel Ultra-Thin BOX (UTBOX) and biased Ground Plane FDSOI high-k/metal gate technology," Solid-State Electronics, vol. 74, pp. 32-37, Aug 2012. doi:10.1016/j.sse.2012.04.008.
[14] D. Hisamoto, W. C. Lee, J. Kedzierski, H. Takeuchi, K. Asano, C. Kuo, et al., "FinFET - A self-aligned double-gate MOSFET scalable to 20 nm," IEEE Transactions on Electron Devices, vol. 47, pp. 2320-2325, Dec 2000. doi:10.1109/16.887014.
[15] N. Barin, M. Braccioli, C. Fiegna, and E. Sangiorgi, "Scaling the high-performance double-gate SOI MOSFET down to the 32 nm technology node with SiO(2)-based gate stacks," in IEEE International Electron Devices Meeting (IEDM), Technical Digest, 2005, pp. 623-626. doi:10.1109/IEDM.2005.1609423.
[16] S. El Ghouli, D. Rideau, F. Monsieur, P. Scheer, G. Gouget, A. Juge, et al., "Experimental g(m)/I-D Invariance Assessment for Asymmetric Double-Gate FDSOI MOSFET," IEEE Transactions on Electron Devices, vol. 65, pp. 11-18, Jan 2018. doi:10.1109/TED.2017.2772804.
[17] C. H. Jan, U. Bhattacharya, R. Brain, S. J. Choi, G. Curello, G. Gupta, et al., "A 22nm SoC platform technology featuring 3-D tri-gate and high-k/metal gate, optimized for ultra low power, high performance and high density SoC applications," in IEEE International Electron Devices Meeting (IEDM), Technical Digest, 2012, pp. 44-47. doi:10.1109/IEDM.2012.6478969.
[18] S. Natarajan, M. Agostinelli, S. Akbar, M. Bost, A. Bowonder, V. Chikarmane, et al., "A 14nm Logic Technology Featuring 2nd-Generation FinFET, Air-Gapped Interconnects, Self-Aligned Double Patterning and a 0.0588 mu m(2) SRAM cell size," in IEEE International Electron Devices Meeting (IEDM), Technical Digest, 2014, pp. 71-73. doi:10.1109/IEDM.2014.7046976.
[19] C. Auth, A. Aliyarukunju, M. Asoro, D. Bergstrom, V. Bhagwat, J. Birdsall, et al., "A 10nm High Performance and Low-Power CMOS Technology Featuring 3rd Generation FinFET Transistors, Self-Aligned Quad Patterning, Contact over Active Gate and Cobalt Local Interconnects," in IEEE International Electron Devices Meeting (IEDM), Technical Digest, 2017, pp. 673-676. doi:10.1109/IEDM.2017.8268472.
[20] N. Loubet, T. Hook, P. Montanini, C. W. Yeung, S. Kanakasabapathy, M. Guillom, et al., "Stacked nanosheet gate-all-around transistor to enable scaling beyond FinFET," in Symposium on VLSI Technology (VLSI Technology), Technical Digest, 2017, pp. 230-231. doi:10.23919/VLSIT.2017.7998183.
[21] H. Mertens, R. Ritzenthaler, A. Hikavyy, M. S. Kim, Z. Tao, K. Wostyn, et al., "Gate-All-Around MOSFETs based on Vertically Stacked Horizontal Si Nanowires in a Replacement Metal Gate Process on Bulk Si Substrates," in Symposium on VLSI Technology (VLSI Technology), Technical Digest, 2016, pp. 1-2. doi:10.1109/VLSIT.2016.7573416.
[22] IRDS. (2018, July). Table MM-1 More Moore - Logic Core Device Technology Roadmap International Roadmap for Devices and Systems. 2017 Edition Report. Available: https://irds.ieee.org/roadmap-2017
[23] J. P. Colinge, FinFETs and Other Multi-Gate Transistors: Springer, 2008. doi:10.1007/978-0-387-71752-4.
[24] Y.-C. Wu, Jhan, Yi-Ruei, 3D TCAD Simulation for CMOS Nanoeletronic Devices: Springer, 2018. doi:10.1007/978-981-10-3066-6.
[25] C. W. L. J. P. Colinge, N. Dehdashti Akhavan, R. Yan, I. Ferain, P. Razavi, A. Kranti, and R. Yu, "Junctionless Transistors: Physics and Properties," in Semiconductor-On-Insulator Materials for Nanoelectronics Applications, F. B. Alexei Nazarov, Francisco Gamiz, J.-P. Colinge, Jean-Pierre Raskin, V.S. Lysenko, Ed., ed: Springer, 2011, pp. 187-200.
[26] D. J. Frank, R. H. Dennard, E. Nowak, P. M. Solomon, Y. Taur, and W. Hon-Sum Philip, "Device scaling limits of Si MOSFETs and their application dependencies," in Proceedings of the IEEE, 2001, pp. 259-288. doi:10.1109/5.915374.
[27] Y. Bin, C. Leland, S. Ahmed, W. Haihong, S. Bell, Y. Chih-Yuh, et al., "FinFET scaling to 10 nm gate length," in IEEE International Electron Devices Meeting (IEDM), Technical Digest, 2002, pp. 251-254. doi:10.1109/IEDM.2002.1175825.
[28] M. D. Ko, C. W. Sohn, C. K. Baek, and Y. H. Jeong, "Study on a Scaling Length Model for Tapered Tri-Gate FinFET Based on 3-D Simulation and Analytical Analysis," IEEE Transactions on Electron Devices, vol. 60, pp. 2721-2727, 2013. doi:10.1109/TED.2013.2272789.
[29] C. Auth, C. Allen, A. Blattner, D. Bergstrom, M. Brazier, M. Bost, et al., "A 22nm high performance and low-power CMOS technology featuring fully-depleted tri-gate transistors, self-aligned contacts and high density MIM capacitors," in Symposium on VLSI Technology (VLSI Technology), Technical Digest, 2012, pp. 131-132. doi:10.1109/VLSIT.2012.6242496.
[30] Y. Li and C. H. Hwang, "Effect of Fin Angle on Electrical Characteristics of Nanoscale Round-Top-Gate Bulk FinFETs," IEEE Transactions on Electron Devices, vol. 54, pp. 3426-3429, 2007. doi:10.1109/TED.2007.908908.
[31] S. Chang-Woo, K. Chang Yong, K. Myung-Dong, B. Rock-Hyun, P. Chan-Hoon, K. Sung-Ho, et al., "Effect of fin height of tapered FinFETs on the sub-22-nm System on Chip (SoC) application using TCAD simulation," in IEEE International Symposium on VLSI Technology, Systems and Application (VLSI-TSA), Proceeding, 2013, pp. 1-2. doi:10.1109/VLSI-TSA.2013.6545634.
[32] C. Meinhardt, A. L. Zimpeck, and R. A. L. Reis, "Predictive evaluation of electrical characteristics of sub-22 nm FinFET technologies under device geometry variations," Microelectronics Reliability, vol. 54, pp. 2319-2324, Sep-Oct 2014. doi:10.1016/j.microrel.2014.07.023.
[33] B. D. Gaynor and S. Hassoun, "Fin Shape Impact on FinFET Leakage With Application to Multithreshold and Ultralow-Leakage FinFET Design," IEEE Transactions on Electron Devices, vol. 61, pp. 2738-2744, 2014. doi:10.1109/TED.2014.2331190.
[34] S. W. M. Hatta, N. Soin, S. H. A. Rahman, Y. A. Wahab, and H. Hussin, "Effects of the fin width variation on the performance of 16 nm FinFETs with round fin corners and tapered fin shape," in IEEE International Conference on Semiconductor Electronics (ICSE), Proceeding, 2014, pp. 533-536. doi:10.1109/SMELEC.2014.6920916.
[35] K. Tomida, K. Hiraga, M. Dehan, G. Hellings, D. Jang, K. Miyaguhi, et al., "Impact of Fin Shape Variability on Device Performance towards 10nm Node," in IEEE International Conference on IC Design & Technology (ICICDT), Proceeding, 2015, pp. 1-4. doi:10.1109/ICICDT.2015.7165884.
[36] M. Lundstrom, X. Sun, D. Antoniadis, and S. Rakheja. (2015, December). Emerging CMOS Technology at 5 nm and Beyond: Device Options and Trade-offs. 2015 IEDM Short Course. Available: https://nanohub.org/resources/23273
[37] Synopsys, TCAD Sentaurus Device Manual, Synopsys SDevice Ver. K-2015.06. Mountain View, CA, USA: Synopsys, Inc., 2015.
[38] D. Jang, D. Yakimets, G. Eneman, P. Schuddinck, M. G. Bardon, P. Raghavan, et al., "Device Exploration of NanoSheet Transistors for Sub-7-nm Technology Node," IEEE Transactions on Electron Devices, vol. 64, pp. 2707-2713, Jun 2017. doi:10.1109/TED.2017.2695455.
[39] P. Weckx, J. Ryckaert, V. Putchal, A. De Keersgieter, J. Boemmels, P. Schuddinckl, et al., "Stacked nanosheet fork architecture for SRAM design and device co-optimization toward 3nm," in IEEE International Electron Devices Meeting (IEDM), Technical Digest, 2017, pp. 505-508. doi:10.1109/IEDM.2017.8268430.
[40] P. J. Feng, S. C. Song, G. Nallapati, J. Zhu, J. Bao, V. Moroz, et al., "Comparative Analysis of Semiconductor Device Architectures for 5-nm Node and Beyond," IEEE Electron Device Letters, vol. 38, pp. 1657-1660, Dec 2017. doi:10.1109/LED.2017.2769058.
[41] J. P. Colinge, C. W. Lee, A. Afzalian, N. D. Akhavan, R. Yan, I. Ferain, et al., "Nanowire transistors without junctions," Nature Nanotechnology, vol. 5, pp. 225-229, Mar 2010. doi:10.1038/nnano.2010.15.
[42] J. P. Colinge, A. Kranti, R. Yan, I. Ferain, N. D. Akhavan, P. Razavi, et al., "A Simulation Comparison between Junctionless and Inversion-Mode MuGFETs," ECS Transactions, vol. 35, pp. 63-72, 2011. doi:10.1149/1.3570778.
[43] R. Rios, A. Cappellani, M. Armstrong, A. Budrevich, H. Gomez, R. Pai, et al., "Comparison of Junctionless and Conventional Trigate Transistors With L-g Down to 26 nm," IEEE Electron Device Letters, vol. 32, pp. 1170-1172, Sep 2011. doi:10.1109/LED.2011.2158978.
[44] V. Thirunavukkarasu, Y. R. Jhan, Y. B. Liu, and Y. C. Wu, "Performance of Inversion, Accumulation, and Junctionless Mode n-Type and p-Type Bulk Silicon FinFETs With 3-nm Gate Length," IEEE Electron Device Lett., vol. 36, pp. 645-647, Jul 2015. doi:10.1109/LED.2015.2433303.
[45] Z. B. Guo and Y. Wang, "Comparison of Ultra Low Power Junctionless and Inversion-Mode FinFETs with Compact Model," in IEEE Electron Devices and Solid-State Circuits (EDSSC), Proceeeding, 2016, pp. 212-215. doi:10.1109/EDSSC.2016.7785246.
[46] D. R. Hsieh, J. Y. Lin, P. Y. Kuo, and T. S. Chao, "High-Performance Pi-Gate Poly-Si Junctionless and Inversion Mode FET," IEEE Transactions on Electron Devices, vol. 63, pp. 4179-4184, Nov 2016. doi:10.1109/TED.2016.2611021.
[47] J. Hur, B. H. Lee, M. H. Kang, D. C. Ahn, T. Bang, S. B. Jeon, et al., "Comprehensive Analysis of Gate-Induced Drain Leakage in Vertically Stacked Nanowire FETs: Inversion-Mode Versus Junctionless Mode," IEEE Electron Device Letters, vol. 37, pp. 541-544, May 2016. doi:10.1109/LED.2016.2540645.
[48] V. Thirunavukkarasu, J. Lee, T. Sadi, V. P. Georgiev, F. A. Lema, K. P. Soundarapandian, et al., "Investigation of inversion, accumulation and junctionless mode bulk Germanium FinFETs," Superlattices and Microstructures, vol. 111, pp. 649-655, Nov 2017. doi:10.1016/j.spmi.2017.07.020.
[49] R. Chau, S. Datta, M. Doczy, B. Doyle, J. Jin, J. Kavalieros, et al., "Benchmarking nanotechnology for high-performance and low-power logic transistor applications," IEEE Transaction on Nanotechnology, vol. 4, pp. 153-158, Mar 2005. doi:10.1109/TNANO.2004.842073.
[50] S. D. Kim, M. Guillorn, I. Lauer, P. Oldiges, T. Hook, and M. H. Na, "Performance Trade-offs in FinFET and Gate-All Around Device Architectures for 7nm-node and Beyond," in IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), Proceeeding, 2015, pp. 1-3. doi:10.1109/S3S.2015.7333521.
[51] J. Deng and H. S. P. Wong, "Metrics for performance benchmarking of nanoscale Si and carbon nanotube FETs including device nonidealities," IEEE Transactions on Electron Devices, vol. 53, pp. 1317-1322, Jun 2006. doi:10.1109/TED.2006.874159.
[52] M. H. Na, E. J. Nowak, W. Haensch, and J. Cai, "The effective drive current in CMOS inverters," in IEEE International Electron Devices Meeting (IEDM), Technical Digest, 2002, pp. 121-124. doi:10.1109/IEDM.2002.1175793.
[53] S. Takagi, R. Zhang, J. Suh, S. H. Kim, M. Yokoyama, K. Nishi, et al., "III-V/Ge channel MOS device technologies in nano CMOS era," Japanese Journal of Applied Physics, vol. 54, p. 06FA01, Jun 2015. doi:10.7567/JJAP.54.06FA01.
[54] M. Heyns, A. Alian, G. Brammertz, M. Caymax, Y. C. Chang, L. K. Chu, et al., "Advancing CMOS beyond the Si roadmap with Ge and III/V devices," in IEEE International Electron Devices Meeting (IEDM), Technical Digest, 2011, pp. 299-302. doi:10.1109/IEDM.2011.6131543.
[55] S. Takagi, S. H. Kim, M. Yokoyama, R. Zhang, N. Taoka, Y. Urabe, et al., "High mobility CMOS technologies using III-V/Ge channels on Si platform," Solid-State Electronics, vol. 88, pp. 2-8, Oct 2013. doi:10.1016/j.sse.2013.04.020.
[56] Y. J. Lee, G. L. Luo, F. J. Hou, M. C. Chen, C. C. Yang, C. H. Shen, et al., "Ge GAA FETs and TMD FinFETs for the Applications Beyond Si-A Review," IEEE Journal of the Electron Devices Society, vol. 4, pp. 286-293, Sep 2016. doi:10.1109/JEDS.2016.2590580.
[57] R. Pillarisetty, "Academic and industry research progress in germanium nanodevices," Nature, vol. 479, pp. 324-328, Nov 17 2011. doi:10.1038/nature10678.
[58] A. Toriumi, C. H. Lee, T. Nishimura, K. Kita, S. K. Wang, M. Yoshida, et al., "Feasibility of Ge CMOS for Beyond Si-CMOS," ECS Transactions, vol. 33, pp. 33-46, 2010. doi:10.1149/1.3487532.
[59] H. Wu, M. W. Si, L. Dong, J. Y. Zhang, and P. D. Ye, "Ge CMOS: Breakthroughs of nFETs (I-max=714 mA/mm, g(max)=590 mS/mm) by recessed channel and S/D," in Symposium on VLSI Technology (VLSI Technology), Technical Digest, 2014, pp. 1-2. doi:10.1109/VLSIT.2014.6894374.
[60] C. W. Chen, J. Y. Tzeng, C. T. Chung, H. P. Chien, C. H. Chien, and G. L. Luo, "High-Performance Germanium p- and n-MOSFETs With NiGe Source/Drain," IEEE Transactions on Electron Devices, vol. 61, pp. 2656-2661, Aug 2014. doi:10.1109/TED.2014.2327620.
[61] T. Ando, P. Hashemi, J. Bruley, J. Rozen, Y. Ogawa, S. Koswatta, et al., "High Mobility High-Ge-Content SiGe PMOSFETs Using Al2O3/HfO2 Stacks With In-Situ O3 Treatment," IEEE Electron Device Letters, vol. 38, pp. 303-305, Mar 2017. doi:10.1109/LED.2017.2654485.
[62] J. Mitard, L. Witters, Y. Sasaki, H. Arimura, A. Schulze, R. Loo, et al., "A 2nd Generation of 14/16nm-node compatible strained-Ge pFINFET with improved performance with respect to advanced Si-channel FinFETs," in Symposium on VLSI Technology (VLSI Technology), Technical Digest, 2016, pp. 1-2. doi:10.1109/VLSIT.2016.7573368.
[63] P. Hashemi, K. Balakrishnan, A. Majumdar, A. Khakifirooz, W. Kim, A. Baraskar, et al., "Strained Si1-xGex-on-Insulator PMOS FinFETs with Excellent Sub-Threshold Leakage, Extremely-High Short-Channel Performance and Source Injection Velocity for 10nm Node and Beyond," in Symposium on VLSI Technology (VLSI Technology), Technical Digest, 2014, pp. 1-2. doi:10.1109/VLSIT.2014.6894344.
[64] S. W. Kim, J. Lee, Y. H. Park, J. M. Park, S. Park, Y. J. Kim, et al., "Growth of single crystalline germanium thin film on (100) silicon substrate," Electronic Materials Letters, vol. 13, pp. 147-151, Mar 2017. doi:10.1007/s13391-017-6207-5.
[65] C. W. Chen, C. T. Chung, and C. H. Chien, "Ge Channel MOSFETs Directly on Silicon," in IEEE Electron Devices and Solid-State Circuits (EDSSC), Proceeeding, 2014, pp. 1-2. doi:10.1109/EDSSC.2014.7061261.
[66] T. F. Wietler, J. Schmidt, D. Tetzlaff, and E. Bugiel, "Surfactant-mediated epitaxy of silicon germanium films on silicon (001) substrates," Thin Solid Films, vol. 557, pp. 27-30, Apr 30 2014. doi:10.1016/j.tsf.2013.08.125.
[67] H. Ye and J. Z. Yu, "Germanium epitaxy on silicon," Science and Technology of Advanced Materials, vol. 15, p. 024601, Apr 2014. doi:10.1088/1468-6996/15/2/024601.
[68] H. Wu and P. D. Ye, "Fully Depleted Ge CMOS Devices and Logic Circuits on Si," IEEE Transactions on Electron Devices, vol. 63, pp. 3028-3035, Aug 2016. doi:10.1109/TED.2016.2581203.
[69] H. Wu, N. Conrad, W. Luo, and P. D. Ye, "First Experimental Demonstration of Ge CMOS Circuits," in IEEE International Electron Devices Meeting (IEDM), Technical Digest, 2014, pp. 227-230. doi:10.1109/IEDM.2014.7047016.
[70] H. Arimura, S. Sioncke, D. Cott, J. Mitard, T. Conard, W. Vanherle, et al., "Ge nFET with high electron mobility and superior PBTI reliability enabled by monolayer-Si surface passivation and La-induced interface dipole formation," in IEEE International Electron Devices Meeting (IEDM), Technical Digest, 2015, pp. 588-591. doi:10.1109/IEDM.2015.7409752.
[71] K. Nishi, M. Yokoyama, H. Yokoyama, T. Hoshi, H. Sugiyama, M. Takenaka, et al., "High hole mobility front-gate InAs/InGaSb-OI single structure CMOS on Si," in Symposium on VLSI Technology (VLSI Technology), Technical Digest, 2015, pp. 174-175. doi:10.1109/VLSIT.2015.7223667.
[72] W. J. Lu, J. K. Kim, J. F. Klem, S. D. Hawkins, and J. A. del Alamo, "An InGaSb p-channel FinFET," in IEEE International Electron Devices Meeting (IEDM), Technical Digest, 2015, pp. 819-822. doi:10.1109/IEDM.2015.7409810.
[73] V. Deshpande, V. Djara, E. O'Connor, P. Hashemi, T. Morf, K. Balakrishnan, et al., "Three-dimensional monolithic integration of III-V and Si(Ge) FETs for hybrid CMOS and beyond," Japanese Journal of Applied Physics, vol. 56, p. 04CA05, Apr 2017. doi:10.7567/JJAP.56.04CA05.
[74] C. H. Lee, H. Kim, P. Jamison, R. G. Southwick, S. Mochizuki, K. Watanabe, et al., "Selective GeOx-Scavenging from Interfacial Layer on Si1-xGex Channel for High Mobility Si/Si1-xGex CMOS Application," in Symposium on VLSI Technology (VLSI Technology), Technical Digest, 2016, pp. 1-2. doi:10.1109/VLSIT.2016.7573369.
[75] B. Benbakhti, K. H. Chan, A. Soltani, and K. Kalna, "Device and Circuit Performance of the Future Hybrid III-V and Ge-Based CMOS Technology," IEEE Transactions on Electron Devices, vol. 63, pp. 3893-3899, Oct 2016. doi:10.1109/TED.2016.2603188.
[76] D. I. Bae, G. Bae, K. K. Bhuwalka, S. H. Lee, M. G. Song, T. S. Jeon, et al., "A novel tensile Si (n) and compressive SiGe (p) dual-channel CMOS FinFET co-integration scheme for 5nm logic applications and beyond," in IEEE International Electron Devices Meeting (IEDM), Technical Digest, 2016, pp. 683-686. doi:10.1109/IEDM.2016.7838496.
[77] L. Czornomaz, N. Daix, K. Cheng, D. Caimi, C. Rossel, K. Lister, et al., "Co-integration of InGaAs n- and SiGe p-MOSFETs into digital CMOS circuits using hybrid dual-channel ETXOI substrates," in IEEE International Electron Devices Meeting (IEDM), Technical Digest, 2013, pp. 52-55. doi:10.1109/IEDM.2013.6724548.
[78] L. Czornomaz, V. Djara, V. Deshpande, E. O'Connor, M. Sousa, D. Caimi, et al., "First Demonstration of InGaAs/SiGe CMOS Inverters and Dense SRAM Arrays on Si Using Selective Epitaxy and Standard FEOL Processes," in Symposium on VLSI Technology (VLSI Technology), Technical Digest, 2016, pp. 1-2. doi:10.1109/VLSIT.2016.7573391.
[79] R. L. Xie, T. H. Phung, M. B. Yu, and C. X. Zhu, "Effective Surface Passivation by Novel SiH4-NH3 Treatment and BTI Characteristics on Interface-Engineered High-Mobility HfO2-Gated Ge pMOSFETs," IEEE Transactions on Electron Devices, vol. 57, pp. 1399-1407, Jun 2010. doi:10.1109/TED.2010.2046992.
[80] N. Taoka, M. Harada, Y. Yamashita, T. Yamamoto, N. Sugiyama, and S. I. Takagi, "Effects of Si passivation on Ge metal-insulator-semiconductor interface properties and inversion-layer hole mobility," Applied Physics Letters, vol. 92, p. 113511, Mar 17 2008. doi:10.1063/1.2899631.
[81] J. Mitard, B. De Jaeger, F. E. Leys, G. Hellings, K. Martens, G. Eneman, et al., "Record I-ON/I-OFF performance for 65nm Ge pMOSFET and novel Si passivation scheme for improved EOT scalability," in IEEE International Electron Devices Meeting (IEDM), Technical Digest, 2008, pp. 873-876. doi:10.1109/IEDM.2008.4796837.
[82] Y. R. Jhan, V. Thirunavukkarasu, C. P. Wang, and Y. C. Wu, "Performance Evaluation of Silicon and Germanium Ultrathin Body (1 nm) Junctionless Field-Effect Transistor With Ultrashort Gate Length (1 nm and 3 nm)," IEEE Electron Device Letters, vol. 36, pp. 654-656, Jul 2015. doi:10.1109/LED.2015.2437715.
[83] E. D. Kurniawan, S. Y. Yang, V. Thirunavukkarasu, and Y. C. Wu, "Analysis of Ge-Si Heterojunction Nanowire Tunnel FET: Impact of Tunneling Window of Band-to-Band Tunneling Model," Journal of The Electrochemical Society, vol. 164, pp. E3354-E3358, 2017. doi:10.1149/2.0371711jes.
[84] S. Narayanan, E. Banghart, P. Zeitzoff, K. Korablev, S. M. Pandey, A. Gendron-Hansen, et al., "Extraction of parasitic and channel resistance components in FinFETs using TCAD tools," Solid-State Electronics, vol. 123, pp. 44-50, Sep 2016. doi:10.1016/j.sse.2016.05.018.
[85] F. H. Meng, P. Y. Lin, Y. L. Chiu, B. R. Huang, C. J. Lin, and Y. C. King, "Effect of three-dimensional current distribution on characterizing parasitic resistance of FinFETs," Japanese Journal of Applied Physics, vol. 55, p. 04ED16, Apr 2016. doi:10.7567/JJAP.55.04ED16.
[86] J. H. Hur and S. H. Jeon, "III-V compound semiconductors for mass-produced nano-electronics: theoretical studies on mobility degradation by dislocation," Scientific Reports, vol. 6, pp. 1-6, Feb 25 2016. doi:10.1038/srep22001.
[87] J. A. del Alamo, "Nanometre-scale electronics with III-V compound semiconductors," Nature, vol. 479, pp. 317-323, Nov 17 2011. doi:10.1038/nature10677.
[88] M. L. Huang, S. W. Chang, M. K. Chen, C. H. Fan, H. T. Lin, C. H. Lin, et al., "In0.53Ga0.47As MOSFETs with high channel mobility and gate stack quality fabricated on 300 mm Si substrate," in Symposium on VLSI Technology (VLSI Technology), Technical Digest, 2015, pp. 204-205. doi:10.1109/VLSIT.2015.7223675.
[89] N. Waldron, C. Merckling, W. Guo, P. Ong, L. Teugels, S. Ansar, et al., "An InGaAs/InP Quantum Well FinFet Using the Replacement Fin Process Integrated in an RMG Flow on 300mm Si Substrates," 2014 Symposium on VLSI Technology (VLSI-Technology), 2014. doi:10.1109/VLSIT.2014.6894349.
[90] L. Czornomaz, E. Uccelli, M. Sousa, V. Deshpande, V. Djara, D. Caimi, et al., "Confined Epitaxial Lateral Overgrowth (CELO): A Novel Concept for Scalable Integration of CMOS-compatible InGaAs-on-insulator MOSFETs on Large-Area Si Substrates," in Symposium on VLSI Technology (VLSI Technology), Technical Digest, 2015, pp. 172-173. doi:10.1109/VLSIT.2015.7223666.
[91] V. Djara, V. Deshpande, E. Uccelli, N. Daix, D. Caimi, C. Rossel, et al., "An InGaAs on Si Platform for CMOS with 200 mm InGaAs-OI Substrate, Gate-first, Replacement Gate Planar and FinFETs Down to 120 nm Contact Pitch," in Symposium on VLSI Technology (VLSI Technology), Technical Digest, 2015, pp. 176-177. doi:10.1109/VLSIT.2015.7223668.
[92] N. Waldron, C. Merckling, W. Guo, P. Ong, L. Teugels, S. Ansar, et al., "An InGaAs/InP Quantum Well FinFet Using the Replacement Fin Process Integrated in an RMG Flow on 300mm Si Substrates," in Symposium on VLSI Technology (VLSI Technology), Technical Digest, 2014, pp. 1-2. doi:10.1109/VLSIT.2014.6894349.
[93] M. L. Huang, S. W. Chang, M. K. Chen, Y. Oniki, H. C. Chen, C. H. Lin, et al., "High performance In0.53Ga0.47As FinFETs fabricated on 300 mm Si substrate," in Symposium on VLSI Technology (VLSI Technology), Technical Digest, 2016, pp. 1-2. doi:10.1109/VLSIT.2016.7573361.
[94] C. Y. Huang, S. Lee, V. Chobpattana, S. Stemmer, A. C. Gossard, B. Thibeault, et al., "Low Power III-V InGaAs MOSFETs Featuring InP Recessed Source/Drain Spacers with I-on=120 mu A/mu m at I-off=1 nA/mu m and V-DS=0.5 V," in IEEE International Electron Devices Meeting (IEDM), Technical Digest, 2014, pp. 586-589. doi:10.1109/IEDM.2014.7047107.
[95] J. Q. Lin, D. A. Antoniadis, and J. A. del Alamo, "Novel Intrinsic and Extrinsic Engineering for High-Performance High-Density Self-Aligned InGaAs MOSFETs: Precise Channel Thickness Control and Sub-40-nm Metal Contacts," in IEEE International Electron Devices Meeting (IEDM), Technical Digest, 2014, pp. 574-577. doi:10.1109/IEDM.2014.7047104.
[96] Y. Sun, A. Majumdar, C. W. Cheng, R. M. Martin, R. L. Bruce, J. B. Yau, et al., "High-Performance CMOS-Compatible Self-Aligned In0.53Ga0.47As MOSFETs with G(MSAT) over 2200 mu S/mu m at V-DD=0.5 V," in IEEE International Electron Devices Meeting (IEDM), Technical Digest, 2014, pp. 582-585. doi:10.1109/IEDM.2014.7047106.
[97] S. W. Chang, X. Li, R. Oxland, S. W. Wang, C. H. Wang, R. Contreras-Guerrero, et al., "InAs N-MOSFETs with record performance of I-on=600 mu A/mu m at I-off=100 nA/mu m (V-d=0.5 V)," 2013 IEEE International Electron Devices Meeting (IEDM), 2013. doi:10.1109/IEDM.2013.6724639.
[98] M. Radosavljevic, G. Dewey, J. M. Fastenau, J. Kavalieros, R. Kotlyar, B. Chu-Kung, et al., "Non-Planar, Multi-Gate InGaAs Quantum Well Field Effect Transistors with High-K Gate Dielectric and Ultra-Scaled Gate-to-Drain/Gate-to-Source Separation for Low Power Logic Applications," 2010 IEEE International Electron Devices Meeting (IEDM), 2010. doi:10.1109/IEDM.2010.5703306.
[99] M. Radosavljevic, G. Dewey, D. Basu, J. Boardman, B. Chu-Kung, J. M. Fastenau, et al., "Electrostatics Improvement in 3-D Tri-gate Over Ultra-Thin Body Planar InGaAs Quantum Well Field Effect Transistors with High-K Gate Dielectric and Scaled Gate-to-Drain/Gate-to-Source Separation," 2011 IEEE International Electron Devices Meeting (IEDM), 2011. doi:10.1109/IEDM.2011.6131661.
[100] R. Oxland, X. Li, S. W. Chang, S. W. Wang, T. Vasen, P. Ramvall, et al., "InAs FinFETs With H-fin=20 nm Fabricated Using a Top-Down Etch Process," IEEE Electron Device Letters, vol. 37, pp. 261-264, Mar 2016. doi:10.1109/LED.2016.2521001.
[101] A. V. Thathachary, N. Agrawal, K. K. Bhuwalka, M. Cantoro, Y. C. Heo, G. Lavallee, et al., "Indium Arsenide (InAs) Single and Dual Quantum-Well Heterostructure FinFETs," 2015 Symposium on VLSI Technology (VLSI Technology), 2015.
[102] M. L. Huang, S. W. Chang, M. K. Chen, Y. Oniki, H. C. Chen, C. H. Lin, et al., "High performance In0.53Ga0.47As FinFETs fabricated on 300 mm Si substrate," in 2016 Symposium on VLSI Technology (VLSI Technology), 2016, pp. 1-2. doi:10.1109/VLSIT.2016.7573361.
[103] T. W. Kim, D. H. Kim, D. H. Koh, H. M. Kwon, R. H. Baek, D. Veksler, et al., "Sub-100 nm InGaAs quantum-well (QW) tri-gate MOSFETs with Al2O3/HfO2 (EOT < 1 nm) for low-power logic applications," in 2013 IEEE International Electron Devices Meeting (IEDM), 2013, pp. 16.3.1-16.3.4. doi:10.1109/IEDM.2013.6724641.
[104] A. Vardi, J. Q. Lin, W. J. Lu, X. Zhao, and J. A. del Alamo, "High aspect ratio InGaAs FinFETs with sub-20 nm fin width," 2016 Ieee Symposium on Vlsi Technology, 2016.
[105] X. Zhao and J. A. del Alamo, "Nanometer-Scale Vertical-Sidewall Reactive Ion Etching of InGaAs for 3-D III-V MOSFETs," IEEE Electron Device Letters, vol. 35, pp. 521-523, May 2014. doi:10.1109/LED.2014.2313332.
[106] M. Berg, K. M. Persson, O. P. Kilpi, J. Svensson, E. Lind, and L. E. Wernersson, "Self-Aligned, Gate-Last Process for Vertical InAs Nanowire MOSFETs on Si," 2015 IEEE International Electron Devices Meeting (IEDM), 2015. doi:10.1109/IEDM.2015.7409806.
[107] N. Waldron, S. Sioncke, J. Franco, L. Nyns, A. Vais, X. Zhou, et al., "Gate-All-Around InGaAs Nanowire FETS with Peak Transconductance of 2200 mu S/mu m at 50nm Lg using a Replacement Fin RMG Flow," 2015 IEEE International Electron Devices Meeting (IEDM), 2015. doi:10.1109/IEDM.2015.7409805.
[108] S. Babiker, N. Cameron, A. Asenov, and S. P. Beaumont, "New evidence for velocity overshoot in a 200 nm pseudomorphic HEMT," Microelectronics Journal, vol. 27, pp. 785-793, Nov 1996. doi:10.1016/0026-2692(96)00011-0.
[109] M. Sotoodeh, A. H. Khalid, and A. A. Rezazadeh, "Empirical low-field mobility model for III-V compounds applicable in device simulation codes," Journal of Applied Physics, vol. 87, pp. 2890-2900, Mar 15 2000. doi:10.1063/1.372274.
[110] R. K. Ahrenkiel, R. Ellingson, S. Johnston, and M. Wanlass, "Recombination lifetime of In0.53Ga0.47As as a function of doping density," Applied Physics Letters, vol. 72, pp. 3470-3472, Jun 29 1998. doi:10.1063/1.121669.
[111] A. M. Ionescu and H. Riel, "Tunnel field-effect transistors as energy-efficient electronic switches," Nature, vol. 479, pp. 329-337, Nov 17 2011. doi:10.1038/nature10679.
[112] U. E. Avci, D. H. Morris, and I. A. Young, "Tunnel Field-Effect Transistors: Prospects and Challenges," IEEE Journal of the Electron Devices Society, vol. 3, pp. 88-95, May 2015. doi:10.1109/JEDS.2015.2390591.
[113] G. B. Beneventi, E. Gnani, A. Gnudi, S. Reggiani, and G. Baccarani, "Optimization of a Pocketed Dual-Metal-Gate TFET by Means of TCAD Simulations Accounting for Quantization-Induced Bandgap Widening," IEEE Transactions on Electron Devices, vol. 62, pp. 44-51, Jan 2015. doi:10.1109/TED.2014.2371071.
[114] A. W. Dey, B. M. Borg, B. Ganjipour, M. Ek, K. A. Dick, E. Lind, et al., "High-Current GaSb/InAs(Sb) Nanowire Tunnel Field-Effect Transistors," IEEE Electron Device Letters, vol. 34, pp. 211-213, Feb 2013. doi:10.1109/LED.2012.2234078.
[115] A. M. Burke, D. J. Carrad, J. G. Gluschke, K. Storm, S. F. Svensson, H. Linke, et al., "InAs Nanowire Transistors with Multiple, Independent Wrap-Gate Segments," Nano Letters, vol. 15, pp. 2836-2843, May 2015. doi:10.1021/nl5043243.
[116] Y. R. Jhan, Y. C. Wu, and M. F. Hung, "Performance Enhancement of Nanowire Tunnel Field-Effect Transistor With Asymmetry-Gate Based on Different Screening Length," IEEE Electron Device Letters, vol. 34, pp. 1482-1484, Dec 2013. doi:10.1109/LED.2013.2285156.
[117] R. G. Hobbs, N. Petkov, and J. D. Holmes, "Semiconductor Nanowire Fabrication by Bottom-Up and Top-Down Paradigms," Chemistry of Materials, vol. 24, pp. 1975-1991, Jun 12 2012. doi:10.1021/cm300570n.
[118] H. Y. Yu, S. Ren, W. S. Jung, A. K. Okyay, D. A. B. Miller, and K. C. Saraswat, "High-Efficiency p-i-n Photodetectors on Selective-Area-Grown Ge for Monolithic Integration," IEEE Electron Device Letters, vol. 30, pp. 1161-1163, Nov 2009. doi:10.1109/LED.2009.2030905.
[119] J. J. Liou, "Modeling the Tunneling Current in Reverse-Biased P/N Junctions," Solid-State Electronics, vol. 33, pp. 971-972, Jul 1990. doi:10.1016/0038-1101(90)90081-O.
[120] A. Schenk, "Rigorous Theory and Simplified Model of the Band-to-Band Tunneling in Silicon," Solid-State Electronics, vol. 36, pp. 19-34, Jan 1993. doi:10.1016/0038-1101(93)90065-X.
[121] G. A. M. Hurkx, D. B. M. Klaassen, and M. P. G. Knuvers, "A New Recombination Model for Device Simulation Including Tunneling," IEEE Transactions on Electron Devices, vol. 39, pp. 331-338, Feb 1992. doi:10.1109/16.121690.
[122] K. H. Kao, A. S. Verhulst, W. G. Vandenberghe, B. Soree, G. Groeseneken, and K. De Meyer, "Direct and Indirect Band-to-Band Tunneling in Germanium-Based TFETs," IEEE Transactions on Electron Devices, vol. 59, pp. 292-301, Feb 2012. doi:10.1109/TED.2011.2175228.
[123] F. E. Mamouni, S. K. Dixit, R. D. Schrimpf, P. C. Adell, I. S. Esqueda, M. L. McLain, et al., "Gate-Length and Drain-Bias Dependence of Band-to-Band Tunneling-Induced Drain Leakage in Irradiated Fully Depleted SOI Devices," IEEE Transactions on Nuclear Science, vol. 55, pp. 3259-3264, Dec 2008. doi:10.1109/TNS.2008.2006500.
 
 
 
 
第一頁 上一頁 下一頁 最後一頁 top
* *