帳號:guest(18.222.155.187)          離開系統
字體大小: 字級放大   字級縮小   預設字形  

詳目顯示

以作者查詢圖書館館藏以作者查詢臺灣博碩士論文系統以作者查詢全國書目
作者(中文):梁正庸
作者(外文):Liang, Zheng-Yong
論文名稱(中文):利用紫外光輔助原子層沉積系統低溫成長高品質金屬鈷以及氮化矽薄膜
論文名稱(外文):Growth of High Quality Cobalt Metal and SiNx Thin Film by Low Temperature UV-Assisted Atomic Layer Deposition
指導教授(中文):邱博文
指導教授(外文):Chiu, Po-Wen
口試委員(中文):劉瑞雄
林佑明
朱英豪
黃昆平
口試委員(外文):Liu, Rai-Shung
Lin, Yu-Ming
Chu, Ying-Ha
Huang, Kun-Ping
學位類別:博士
校院名稱:國立清華大學
系所名稱:電子工程研究所
學號:101063813
出版年(民國):108
畢業學年度:108
語文別:中文
論文頁數:148
中文關鍵詞:原子層沉積金屬鈷紫外光低溫三羰基亚硝酰基钴
外文關鍵詞:atomic layer depositioncobaltUltraviolet lightlow temperatureCo(CO)3NO
相關次數:
  • 推薦推薦:0
  • 點閱點閱:328
  • 評分評分:*****
  • 下載下載:38
  • 收藏收藏:0
本研究利用自行架設的紫外光輔助原子層沉積 (UVALD)系統以及使用Co(CO)3NO當作鈷前驅物成功合成厚度僅僅4奈米而且具有結晶性的金屬鈷薄膜。紫外光輔助的機制主要有: (1) 藉由提供足夠高的能量打斷前驅物的配位基 (ligands),不僅能夠促使產生高活性的懸空鍵 (dangling bonds)以利於產生化學吸附的特性;(2) 可以避免前驅物龐大的體積而產生屏蔽效應 (screen effect),以至於加速沉積速率緩慢的困境以及(3)具有降低製程溫度的優點。
結果顯示製程溫度落於190-200 °C時表現出ALD window行為以及沉積速率高達5.1 Å/cycle。在經過250度退火後,對於鈷薄膜結晶性之研究中得到XRD顯示於薄膜厚度為50 nm±5nm的樣品上觀察到二倍角 (2θ)位於44.6°處有一個主要的峰值 (111),代表此薄膜符合面心立方 (空間群族為Fm3m (225))而且平均的結晶大小為36 nm,占了薄膜厚度的76 \%。另外,為了確定低溫合成以及退火後的鈷薄膜含量,XPS結果顯示鈷元素占了整個薄膜含量的98.2 \%、氧約為1\%,而碳以及氮含量皆小於1\%.由此可知在經過200度沉積以及250度退火後的金屬鈷薄膜具有純度相當高的鈷含量。此外,奈米薄膜表面粗糙度是對於原子從沉積的一項重要指標,由AFM結果得知鈷金屬膜的表面粗糙度於厚度十奈米時,表面粗糙是1.0 nm的表面粗糙度,而於厚度為4奈米時,表面粗糙是0.6 nm。最後對於電特性的量測結果,電阻率最佳可以優化至10 μΩ∙cm。
選擇氮化矽前驅物過程中,總共嘗試三種氮化矽前驅物,並通過UVALD沉積氮化矽薄膜。最好的前驅體是C$ _{8} $H$ _{20} $N$ _{2} $Si,它可以沉積無碳的超薄膜,並且它可以通過保形和無間隙填充沉積在溝槽結構上。
Ultrathin, flat, and high-purity Co films have been grown on TaN/Si substrates using UV-assisted atomic layer deposition with cobalt tricarbonyl nitrosyl [Co(CO)3NO] as the Co precursor. Under an optimized condition, the growth rate was determined to be 5.1 Å/cycle within a growth window of 190–200 °C. The root-mean-square roughness of the deposited films is ~0.6 nm, and the resistivity can be as low as 1×10-5 ohm-cm. The greatly reduced resistivity as compared with previously reported is attributed to the flatter surface and higher purity of the films, indicating that the UV light effectively reduces impurity contaminants stemming from the ligand residues.

Three kinds of silicon nitride precursors are used to deposit SiNx thin film by UVALD. The best precursor is C8H20N2 $Si, which can deposit the ultra-thin film with carbon-free. And it can deposit on trench structure with conformal and gap-fill.
中文摘要..........................................................I
英文摘要........................................................III
致謝............................................................IV
Publication List.............................................. ..V
目錄.............................................................IX
第一章 簡介...................................................... 1
1.1 薄膜沉積技術之發展 . . . . . . . . . . . . . . . . . . . . . . 1
1.2 吸附行為 . . . . . . . . . . . . . . . . . . . . . . . . . . 3
1.3 薄膜成長之模式 . . . . . . . . . . . .. . . . . . . . . . . . 13
1.4 氣體吸附法 (BET) . . . . . . . . . . . . . . . . . . . . . . 17
1.5 摩爾定律 . . . . . . . . . . . . . . . . . . . . . . . . . . 21
1.6 論文之動機與貢獻. . . . . . . . . . . . . . . . . . . . . . . 25
第二章 原子層沉積技術之工作原理................................... 30
2.1 原子層沉積技術的基本特徵與應用.. . . . . . . . . . . . . . . . 30
2.1.1 原子層沉積技術之機制........................................32
2.1.1.1 前驅物飽和以及自我限制之反應.................. . . . . . . 33
2.1.1.2 原子層沉積之操作範圍............... . . . . . . . . . . . 34
2.1.1.3 前驅物之計量 ......... . . . . . . . . . . . . . . . . . 36
2.1.2 原子層沉積的優勢與限制......................................38
2.2 原子層沉積之化學吸附機制......................................39
2.3 遮蔽效應 . . . . . . . . . . . . . . . . . . . . . . . . . . 41
2.4 前驅物化學 . . . . . . . . . . . . . . . . . . . . . . . . . 43
2.4.1 圈物之介紹.......... . . . . . . . . . . . . . . . . . . . 43
2.4.2 原子層沉積反應物種類..... . . . . . . . . . . . . . . . . . 46
2.4.3 氫氣轉移...... . . . . . . . . . . . . . . . . . . . . . . 46
第三章 紫外光輔助原子層沉積系統之設計與架設......................... 49
3.1 自行架設之紫外光輔助原子層沉積系統 . . .... . . . . . . . . . . 49
3.1.1 系統總覽 . . . . . . . . . . . . . . . . . . . . . . . . . 51
3.1.2 幫浦抽氣之計算..... . . . . . . . . . . . . . . . . . . . . 54
3.1.3 前驅物以及反應物之傳輸........ . . . . . . . . . . . . . . . 59
第四章 利用原子層沉積系統沉積鈷金屬薄膜............................. 65
4.1 銅金屬連線技術之瓶頸 . . . . . . . . . . . . . . . . . . . . . 65
4.2 鈷前驅物之介紹. . . . . . . . . . . . . . . . . . . . . . . . 66
4.2.1 Co(CO)3NO 前驅物之介紹 ...... . . . . . . . . . . . . . . . 67
4.2.2 Co(CO)3NO流量... . . . . . . . . . . . . . . . . . . . . . 68
4.3 利用原子層沉積技術沉積鈷薄膜之示意圖..... . . . . . . . . . . . 68
4.4 沉積鈷薄膜之行為分析 . . . . . . . . . . . . . . . . . . . . . 69
4.4.1 原子層沉積方法之操作範圍........ . . . . . . . . . . . . . . 71
4.5 X-射線光電子光譜之分析 . . . . . . . . . . . . . . . . . . . . 75
4.6 X-射線繞射之分析. . . . . . . . . . . . . . . . . . . . . . . 76
4.7 表面粗糙度之分析. . . . . . . . . . . . . . . . . . . . . . . 78
4.8 退火隊鈷薄膜之電阻率影響 . . . . . . . . . . . . . . . . . . . 79
4.9 由下而上之沉積 . . . . . . . . . . . . . . . . . . . . . . . 85
4.10 ่結論. . . . . . . . . . . . . . . . . . . . . . . . . . . . 87
第五章 利用原子層沉積系統沉積氮化矽薄膜............................. 92
5.1 前言.. . . . . . . . . . . . . . . . . . . . . . . . . . ....92
5.2 前驅物介紹. . . . . . . . . . . . . . . . . . . . . . . . . .95
5.3 Si(C4H7)4 .. . . . . . . . . . . . . . . . . . . . . . . . .96
5.4 CH2=CHCH2NH[Si(CH3)3]2 . . . . . . . . . . . . . . . . . . 101
5.5 C8H20N2Si. . . . . . . . . . . . . . . . . . . . . . . . . 105
5.6 氮化矽薄膜之保形以及填洞能力..................................112
5.7 ่結論. . . . . . . . . . . . . . . . . . . . . . . . . . . . 115
第六章 未來與展望................................................119
附錄一:紫外光的光子數之計算...................................... 121
附錄二:成核點之間之距離計算...................................... 122
附錄三:估計在表面處於紫外光照射之後,能夠產生多少懸空鍵............. 123
附錄四:固態表面沉積之行為....................................... 124
附錄五:利用 Scherrer 方程式計算晶格大小.......................... 126
附錄六:比較三種不同電阻率計算之模型.............................. 127
參考文獻....................................................... 129
[1] H. Lüth, Solid Surfaces, Interfaces and Thin Films (Graduate Texts in Physics). Springer, 2010.
[2] E. Zaremba and W. Kohn, “Theory of helium adsorption on simple and noble-metal surfaces,” Physical Review B, vol. 15, pp. 1769–1781, feb 1977.
[3] T. Grimley, Theory of Chemisorption, The Chemical Physics of Solid Surfaces and Heterogeneous Catalysis. (Elsevier, Amsterdam), 1983.
[4] E. Bauer, Oriented Crystallization on Amorphous Substrates. Phänomenologische Theorie der Kristalabscheidung an Oberflächen, Z. Kristallogr. 110, 372ȉ394, 1958.
[5] J. Venables, Introduction to Surface and Thin Film Processes. Cambridge University Press, 2006.
[6] J. A. Venables, “Atomic processes in crystal growth,” Surface Science, vol. 299-300, pp. 798–817, jan 1994.
[7] S. Brunauer, P. H. Emmett, and E. Teller, “Adsorption of gases in multimolecular layers,” Journal of the American Chemical Society, vol. 60, pp. 309–319, feb 1938.
[8] M. H. van der Veen, K. Vandersmissen, D. Dictus, S. Demuynck, R. Liu, X. Bin, P. Nalla, A. Lesniewska, L. Hall, K. Croes, L. Zhao, J. Bommels,A. Kolics, and Z. Tokei, “Cobalt bottom-up contact and via prefill enabling advanced logic and DRAM technologies,” in 2015 IEEE International Interconnect Technology Conference and 2015 IEEE Materials for Advanced Metallization Conference (IITC/MAM), IEEE, may 2015.
[9] C. A. et al., “A 10nm high performance and low-power cmos technology featuring 3rd generation finfet transistors, self-aligned quad patterning, contact over active gate and cobalt local interconnects, intel corporation,” in IEDM, 2017.
[10] W. J. Chan, A. B. Kahng, S. Nath, and I. Yamamoto, “The itrs mpu and soc system drivers: Calibration and implications for design-based equivalent scaling in the roadmap,” in 2014 IEEE 32nd International Conference on Computer Design (ICCD), pp. 153–160, 19-2.
[11] M. H. . J. K. . Y. S. . L. Z. . S. L. . R. Arghavani, “Amorphous co-ti alloy as a single layer barrier for co local interconnect structure,” in IEEE International Interconnect Technology Conference / Advanced Metallization Conference
(IITC/AMC), 2016.
[12] G. Foundries, “Semiconductor manufacturing & design community,” Jun. 2018.
[13] GmbH, Atomic Layer Deposition for Semiconductors. Springer-Verlag GmbH, 2013.
[14] S. Li, “Optimization of precursor pulsing in atomic layer deposition,” Mikroja nanotekniikan laitos, Aalto University, 2008.
[15] M. T. Bohr, “Logic technology scaling to continue mooreȷs law,” in 2018 IEEE 2nd Electron Devices Technology and Manufacturing Conference (EDTM), 2018.
[16] J. P. Klesko, M. M. Kerrigan, and C. H. Winter, “Low temperature thermal atomic layer deposition of cobalt metal films,” Chemistry of Materials, vol. 28, pp. 700–703, jan 2016.
[17] M. M. Kerrigan, J. P. Klesko, S. M. Rupich, C. L. Dezelah, R. K. Kanjolia,
Y. J. Chabal, and C. H. Winter, “Substrate selectivity in the low temperature atomic layer deposition of cobalt metal films from bis(1,4-di-tert-butyl-1,3-diazadienyl)cobalt and formic acid,” The Journal of Chemical Physics,vol. 146, p. 052813, feb 2017.
[18] J. Feng, X. Gong, X. Lou, and R. G. Gordon, “Direct-liquid-evaporation chemical vapor deposition of nanocrystalline cobalt metal for nanoscale copper interconnect encapsulation,” ACS Applied Materials & Interfaces, vol. 9, pp. 10914–10920, mar 2017.
[19] M. He, X. Zhang, T. Nogami, X. Lin, J. Kelly, H. Kim, T. Spooner, D. Edelstein, and L. Zhao, “Mechanism of co liner as enhancement layer for cu interconnect gap-fill,” Journal of The Electrochemical Society, vol. 160, no. 12,
pp. D3040–D3044, 2013.
[20] Y. Kokaze, S. Kodaira, Y. Endo, J. Hamaguchi, M. Harada, S. Kumamoto, Y. Sakamoto, and Y. Higuchi, “Performance of integrated cu gap-filling process with chemical vapor deposition cobalt liner,” Japanese Journal of Applied Physics, vol. 52, p. 05FA01, may 2013.
[21] J. Wu, F. Wafula, S. Branagan, H. Suzuki, and J. van Eisden, “Mechanism
of cobalt bottom-up filling for advanced node interconnect metallization,”Journal of The Electrochemical Society, vol. 166, pp. D3136–D3141, nov 2018.
[22] M. S. D. R. L. B. P. C. J. B. R. F. Mevellec, V.; Thiam, “A novel bottom up fill mechanism for the metallization of advanced node copper interconnects. processing materials of 3d interconnects,” Damascene and Electronics Packaging, 2016.
[23] N. Bekiaris, Z. Wu, H. Ren, M. Naik, J. H. Park, M. Lee, T. H. Ha, W. Hou, J. R. Bakke, M. Gage, Y. Wang, and J. Tang, “Cobalt fill for advanced interconnects,” in 2017 IEEE International Interconnect Technology Conference (IITC), IEEE, may 2017.
[24] J. Park, H.-B.-R. Lee, D. Kim, J. Yoon, C. Lansalot, J. Gatineau, H. Chevrel, and H. Kim, “Plasma-enhanced atomic layer deposition of co using co(MeCp)2 precursor,” Journal of Energy Chemistry, vol. 22, pp. 403–407, may 2013.
[25] J. Yoon, H.-B.-R. Lee, D. Kim, T. Cheon, S.-H. Kim, and H. Kim, “Atomic layer deposition of co using n2⁄h2 plasma as a reactant,” Journal of The Electrochemical Society, vol. 158, no. 11, p. H1179, 2011.
[26] M. F. Chioncel and P. W. Haycock, “Structural characterization of cobalt thin films grown by metal-organic CVD,” Chemical Vapor Deposition, vol. 11, pp. 235–243, may 2005.
[27] K. Kim, K. Lee, S. Han, T. Park, Y. Lee, J. Kim, S. Yeom, and H. Jeon, “Comparison of co films deposited by remote plasma atomic layer deposition method with cyclopentadienylcobalt dicarbonyl [CpCo(CO)2] and dicobalt octacarbonyl [co2(CO)8],” Japanese Journal of Applied Physics, vol. 46, pp. L173–L176, feb 2007.
[28] H.-B.-R. Lee and H. Kim, “High-quality cobalt thin films by plasma enhanced atomic layer deposition,” Electrochemical and Solid-State Letters, vol. 9, no. 11, p. G323, 2006.
[29] J. Yoon, H.-B.-R. Lee, D. Kim, T. Cheon, S.-H. Kim, and H. Kim, “Atomic layer deposition of co using n2⁄h2 plasma as a reactant,” Journal of The Elec-
trochemical Society, vol. 158, no. 11, p. H1179, 2011.
[30] H. Shimizu, K. Sakoda, T. Momose, M. Koshi, and Y. Shimogaki, “Hotwire-assisted atomic layer deposition of a high-quality cobalt film using cobaltocene: Elementary reaction analysis on NHx radical formation,” Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol. 30, p. 01A144, jan 2012.
[31] J. Park, H.-B.-R. Lee, D. Kim, J. Yoon, C. Lansalot, J. Gatineau, H. Chevrel, and H. Kim, “Plasma-enhanced atomic layer deposition of co using co(MeCp)2 precursor,” Journal of Energy Chemistry, vol. 22, pp. 403–407, may 2013.
[32] J.-M. Kim, H.-B.-R. Lee, C. Lansalot, C. Dussarrat, J. Gatineau, and H. Kim, “Plasma-enhanced atomic layer deposition of cobalt using cyclopentadienyl isopropyl acetamidinato-cobalt as a precursor,” Japanese Journal of Applied Physics, vol. 49, p. 05FA10, may 2010.
[33] K. Kim, K. Lee, S. Han, W. Jeong, and H. Jeon, “Characteristics of cobalt thin films deposited by remote plasma ALD method with dicobalt octacar-bonyl,” Journal of The Electrochemical Society, vol. 154, no. 3, p. H177, 2007.
[34] K. Lee, K. Kim, T. Park, H. Jeon, Y. Lee, J. Kim, and S. Yeom, “Characteristics of ti-capped co films deposited by a remote plasma ALD method using cyclopentadienyl cobalt dicarbonyl,” Journal of The Electrochemical Society, vol. 154, no. 10, p. H899, 2007.
[35] H.-B.-R. Lee and H. Kim, “Area selective atomic layer deposition of cobalt thin films,” in ECS Transactions, ECS, 2008.
[36] H.-B.-R. Lee, W.-H. Kim, J. W. Lee, J.-M. Kim, K. Heo, I. C. Hwang, Y. Park, S. Hong, and H. Kim, “High quality area-selective atomic layer deposition co using ammonia gas as a reactant,” Journal of The Electrochemical Society, vol. 157, no. 1, p. D10, 2010.
[37] J. Kwon, M. Saly, M. D. Halls, R. K. Kanjolia, and Y. J. Chabal, “Substrate
selectivity of (tBu-allyl)co(CO)3 during thermal atomic layer deposition of cobalt,” Chemistry of Materials, vol. 24, pp. 1025–1030, feb 2012.
[38] J.-H. Park, D.-Y. Moon, D.-S. Han, Y.-J. Kang, S.-R. Shin, H.-T. Jeon, and J.-W. Park, “Plasma-enhanced atomic layer deposition (PEALD) of cobalt thin films for copper direct electroplating,” Surface and Coatings Technology, vol. 259, pp. 98–101, nov 2014.
[39] M. M. Kerrigan, J. P. Klesko, and C. H. Winter, “Low temperature, selective atomic layer deposition of cobalt metal films using bis(1,4-di-tert-butyl-1,3-diazadienyl)cobalt and alkylamine precursors,” Chemistry of Materials, vol. 29, pp. 7458–7466, aug 2017.
[40] S. G. Rosenberg, M. Barclay, and D. H. Fairbrother, “Electron beam induced reactions of adsorbed cobalt tricarbonyl nitrosyl (co(CO)3no) molecules,” The Journal of Physical Chemistry C, vol. 117, pp. 16053–16064, jul 2013.
[41] K. Jeong, J. Lee, I. Byun, M. jun Seong, J. Park, H. W. Kim, M. J. Kim, J.-H. Kim, and J. Lee, “Synthesis of highly conductive cobalt thin films by LCVD at atmospheric pressure,” Materials Science in Semiconductor Processing, vol. 68, pp. 245–251, sep 2017.
[42] J. K. Sprenger, A. S. Cavanagh, H. Sun, K. J. Wahl, A. Roshko, and S. M. George, “Electron enhanced growth of crystalline gallium nitride thin films at room temperature and 100 °c using sequential surface reactions,” Chemistry of Materials, vol. 28, pp. 5282–5294, jul 2016.
[43] S. G. Rosenberg, K. Landheer, C. W. Hagen, and D. H. Fairbrother, “Substrate temperature and electron fluence effects on metallic films created by electron beam induced deposition,” Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena, vol. 30, p. 051805, sep 2012.
[44] J. K. Sprenger, H. Sun, A. S. Cavanagh, and S. M. George, “Electron-enhanced atomic layer deposition of silicon thin films at room temperature,” Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol. 36, p. 01A118, jan 2018.
[45] N. Deo, M. F. Bain, J. H. Montgomery, and H. S. Gamble, “Study of magnetic properties of thin cobalt films deposited by chemical vapour deposition,” Journal of Materials Science: Materials in Electronics, vol. 16, pp. 387–392, jul 2005.
[46] A. R. Ivanova, “The effects of processing parameters in the chemical vapordeposition of cobalt from cobalt tricarbonyl nitrosyl,” Journal of The Electrochemical Society, vol. 146, p. 2139, jun 1999.
[47] S. J. Matern, J. A.; Gill, The chemistry of the coordination compounds. American Chemical Society Monograph 131, pp 509, 1956.
[48] J. C. J. C. Bailar, Coordination compounds, Chemistry, Organic, Chemistry, Physical. New York, Reinhold Pub. Corp., 1956.
[49] X. Gong, Q.-S. Li, Y. Xie, R. B. King, and H. F. S. III, “Mononuclear and binuclear cobalt carbonyl nitrosyls: comparison with isoelectronic nickel carbonyls,” New Journal of Chemistry, vol. 33, no. 10, p. 2090, 2009.
[50] L. O. Brockway and J. S. Anderson, “The molecular structures of iron nitrosocarbonyl fe (NO)2(CO)2 and cobalt nitrosocarbonyl co(NO)(CO)3,” Transactions of the Faraday Society, vol. 33, p. 1233, 1937.
[51] R. L. Puurunen, A. Root, S. Haukka, E. I. Iiskola, M. Lindblad, and A. O. I. Krause, “IR and NMR study of the chemisorption of ammonia on trimethylaluminum-modified silica,” The Journal of Physical Chemistry B, vol. 104, pp. 6599–6609, jul 2000.
[52] R. L. Puurunen, M. Lindblad, A. Root, and A. O. I. Krause, “Successive reactions of gaseous trimethylaluminium and ammonia on porous alumina,” Physical Chemistry Chemical Physics, vol. 3, no. 6, pp. 1093–1102, 2001.
[53] R. L. Puurunen, A. Root, P. Sarv, M. M. Viitanen, H. H. Brongersma, M. Lindblad, and A. O. I. Krause, “Growth of aluminum nitride on porous alumina and silica through separate saturated gas-solid reactions of trimethy-laluminum and ammonia,” Chemistry of Materials, vol. 14, pp. 720–729, feb 2002.
[54] A. Rautiainen, M. Lindblad, L. B. Backman, and R. L. Puurunen, “Preparation of silica-supported cobalt catalysts through chemisorption of cobalt(ii) and cobalt(iii) acetylacetonate,” Physical Chemistry Chemical Physics,
vol. 4, pp. 2466–2472, may 2002.
[55] S. M. Rossnagel, A. Sherman, and F. Turner, “Plasma-enhanced atomic layer deposition of ta and ti for interconnect diffusion barriers,” Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol. 18, no. 4, p. 2016, 2000.
[56] J. Y. Kim, S. Seo, D. Y. Kim, H. Jeon, and Y. Kim, “Remote plasma enhanced atomic layer deposition of TiN thin films using metalorganic precursor,” Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol. 22, pp. 8–12, jan 2004.
[57] P. A. Lane, P. E.Oliver, P. J. Wright, C. L. Reeves, A. D. Pitt, and B. Cock-
ayne, “Metal organic CVD of cobalt thin films using cobalt tricarbonyl nitrosyl,” Chemical Vapor Deposition, vol. 04, pp. 183–186, oct 1998.
[58] A. R. Ivanova, “The effects of processing parameters in the chemical vapor deposition of cobalt from cobalt tricarbonyl nitrosyl,” Journal of The Electrochemical Society, vol. 146, p. 2139, jun 1999.
[59] K. Jeong, J. Lee, I. Byun, M. jun Seong, J. Park, H. W. Kim, M. J. Kim, J.-H. Kim, and J. Lee, “Synthesis of highly conductive cobalt thin films by LCVD at atmospheric pressure,” Materials Science in Semiconductor Processing, vol. 68, pp. 245–251, sep 2017.
[60] C. Zhang, D. Zhao, D. Gu, H. Kim, T. Ling, Y.-K. R. Wu, and L. J. Guo, “An ultrathin, smooth, and low-loss al-doped ag film and its application as a transparent electrode in organic photovoltaics,” Advanced Materials, vol. 26, pp. 5696–5701, jun 2014.
[61] A. K. Mahapatro, A. Scott, A. Manning, and D. B. Janes, “Gold surface with
sub-nm roughness realized by evaporation on a molecular adhesion monolayer,” Applied Physics Letters, vol. 88, p. 151917, apr 2006.
[62] L. Leandro, R. Malureanu, N. Rozlosnik, and A. Lavrinenko, “Ultrathin, ultrasmooth gold layer on dielectrics without the use of additional metallic adhesion layers,” ACS Applied Materials & Interfaces, vol. 7, pp. 5797–5802, mar 2015.
[63] C.-C. Chang, F.-M. Pan, and C.-W. Chen, “Effect of surface reduction treatments of plasma-enhanced atomic layer chemical vapor deposited TaN[subx] on adhesion with copper,” Journal of The Electrochemical Society, vol. 157, no. 2, p. G62, 2010.
[64] N. A. Barakat, M. El-Newehy, S. S. Al-Deyab, and H. Y. Kim, “Cobalt/copper-decorated carbon nanofibers as novel non-precious electrocatalyst for methanol electrooxidation,” Nanoscale Research Letters, vol. 9, jan 2014.
[65] N. A. M. Barakat, B. Kim, S. J. Park, Y. Jo, M.-H. Jung, and H. Y. Kim, “Cobalt nanofibers encapsulated in a graphite shell by an electrospinning process,” Journal of Materials Chemistry, vol. 19, no. 39, p. 7371, 2009.
[66] K. Fuchs, “The conductivity of thin metallic films according to the electron theory of metals,” Mathematical Proceedings of the Cambridge Philosophical Society, vol. 34, pp. 100–108, jan 1938.
[67] E. H. Sondheimer, “The mean free path of electrons in metals,” Advances in Physics, vol. 50, pp. 499–537, sep 2001.
[68] A. F. Mayadas and M. Shatzkes, “Electrical-resistivity model for polycrystalline films: the case of arbitrary reflection at external surfaces,” Physical Review B, vol. 1, pp. 1382–1389, feb 1970.
[69] J. S. Chawla and D. Gall, “Specular electron scattering at single-crystal cu(001) surfaces,” Applied Physics Letters, vol. 94, p. 252101, jun 2009.
[70] S. Dutta, S. Beyne, A. Gupta, S. Kundu, S. V. Elshocht, H. Bender, G. Jamieson, W. Vandervorst, J. Bommels, C. J. Wilson, Z. Tokei, and
C. Adelmann, “Sub-100 nm2 cobalt interconnects,” IEEE Electron Device Letters, vol. 39, pp. 731–734, may 2018.
[71] J. M. Roberts, A. P. Kaushik, and J. S. Clarke, “Resistivity of sub-30 nm copper lines,” in 2015 IEEE International Interconnect Technology Conference and 2015 IEEE Materials for Advanced Metallization Conference (IITC/MAM), IEEE, may 2015.
[72] M. Wislicenus, R. Liske, L. Gerlich, B. Vasilev, and A. Preusse, “Cobalt
advanced barrier metallization: A resistivity composition analysis,” Microelectronic Engineering, vol. 137, pp. 11–15, apr 2015.
[73] J. S. Chawla, F. Gstrein, K. P. O’Brien, J. S. Clarke, and D. Gall, “Electron scattering at surfaces and grain boundaries in cu thin films and wires,” Physical Review B, vol. 84, dec 2011.
[74] J.-W. Lim and M. Isshiki, “Electrical resistivity of cu films deposited by ion beam deposition: Effects of grain size, impurities, and morphological defect,” Journal of Applied Physics, vol. 99, p. 094909, may 2006.
[75] J.-W. Lim, K. Mimura, and M. Isshiki, “Thickness dependence of resistivity for cu films deposited by ion beam deposition,” Applied Surface Science, vol. 217, pp. 95–99, jul 2003.
[76] H.-B.-R. Lee and H. Kim, “High-quality cobalt thin films by plasma-enhanced atomic layer deposition,” Electrochemical and Solid-State Letters,vol. 9, no. 11, p. G323, 2006.
[77] S. W. King, “Dielectric barrier, etch stop, and metal capping materials forstate of the art and beyond metal interconnects,” ECS Journal of Solid State Science and Technology, vol. 4, pp. N3029–N3047, oct 2014.
[78] M. R. Baklanov, C. Adelmann, L. Zhao, and S. D. Gendt, “Advanced interconnects: Materials, processing, and reliability,” ECS Journal of Solid State Science and Technology, vol. 4, pp. Y1–Y4, dec 2014.
[79] C. Wu, Y. Li, M. R. Baklanov, and K. Croes, “Electrical reliability challenges of advanced low-k dielectrics,” ECS Journal of Solid State Science and Technology, vol. 4, pp. N3065–N3070, oct 2014.
[80] J. Gambino, “7 copper interconnect technology for the 22nm node (invited),” in International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA), 2011.
[81] S.-W. Chen, Y.-S. Wang, S.-Y. Hu, W.-H. Lee, C.-C. Chi, and Y.-L. Wang, “A study of trimethylsilane (3ms) and tetramethylsilane (4ms) based α-SiCN:h/α-SiCO:h diffusion barrier films,” Materials, vol. 5, pp. 377–384, mar 2012.
[82] C. Chen, P. Liu, T. Chang, J. Yang, T. Tsai, H. Wu, and T. Tseng, “Cupenetration induced breakdown mechanism for a-SiCN,” Thin Solid Films, vol. 469-470, pp. 388–392, dec 2004.
[83] L. Yang, D. Zhang, C. Li, R. Liu, P. Lu, P. Foo, and A. Wee, “Comparative investigation of TaN and SiCN barrier layer for cu/ultra low k integration,” Thin Solid Films, vol. 504, pp. 265–268, may 2006.
[84] E. Ermakova, K. Mogilnikov, Y. Rumyantsev, V. Kichay, E. Maximovskii, O. Semenova, and M. Kosinova, “Study of Cu diffusion behavior in carbon rich SiCN:h films deposited from trimethylphenylsilane,” Thin Solid Films, vol. 588, pp. 39–43, aug 2015.
[85] N. I. Fainer, A. G. Plekhanov, A. N. Golubenko, Y. M. Rumyantsev, V. I. Rakhlin, E. A. Maximovski, and V. R. Shayapov, “PECVD synthesis of silicon carbonitride layers using methyltris(diethylamino)silane as the new single-source precursor,” ECS Journal of Solid State Science and Technol-
ogy, vol. 4, pp. N3153–N3163, nov 2014.
[86] T. Wang, Y. Cheng, Y. Wang, T. Hsieh, G. Hwang, and C. Chen, “Comparison of characteristics and integration of copper diffusion-barrier dielectrics,” Thin Solid Films, vol. 498, pp. 36–42, mar 2006.
[87] E. V. Besien, A. Singh, Y. Barbarin, P. Verdonck, H. F. Dekkers, K. Vanstreels, J.-F. de Marneffe, M. R. Baklanov, and S. V. Elshocht, “Low-k a-SiCO:h films as diffusion barriers for advanced interconnects,” Microelectronic Engineering, vol. 120, pp. 221–224, may 2014.
[88] Y. Shioya, T. Ishimaru, H. Ikakura, Y. Nishimoto, T. Ohdaira, R. Suzuki, and K. Maeda, “Copper barrier properties of low dielectric constant SiOCNH film deposited by plasma-enhanced CVD,” Journal of The Electrochemical Society, vol. 151, no. 1, p. C56, 2004.
[89] H. Shimizu, S. Nagano, A. Uedono, N. Tajima, T. Momose, and Y. Shimogaki, “Material design of plasma-enhanced chemical vapour deposition SiCH films for low-kcap layers in the further scaling of ultra-large-scale integrated devices-cu interconnects,” Science and Technology of Advanced Materials, vol. 14, p. 055005, mar 2013.
[90] B.-Y. Tsui, K.-L. Fang, and S.-D. Lee, “Electrical instability of low-dielectric constant diffusion barrier film (a-SiC:h) for copper interconnect,” IEEE Transactions on Electron Devices, vol. 48, no. 10, pp. 2375–2383, 2001.
[91] H. Shimizu, N. Tajima, T. Kada, S. Nagano, and Y. Shimogaki, “Novel precursors for SiCH low-$k$ caps beyond the 22 nm node: Reactions of silacyclopentane precursors in the plasma-enhanced chemical vapor depositionprocess and structural analyses of SiCH films,” Japanese Journal of Applied Physics, vol. 50, p. 08KA01, aug 2011.
[92] Y.-B. Park and S.-W. Rhee, “Bulk and interface properties of lowtemperature silicon nitride films deposited by remote plasma enhanced chemical vapor deposition,” Journal of Materials Science: Materials in Electronics, vol. 12, no. 9, pp. 515–522, 2001.
[93] R. A. Ovanesyan, D. M. Hausmann, and S. Agarwal, “Low-temperature conformal atomic layer deposition of SiNx films using si2cl6 and NH3 plasma,”ACS Applied Materials & Interfaces, vol. 7, pp. 10806–10813, may 2015.
[94] H. Sato, A. Izumi, A. Masuda, and H. Matsumura, “Low-k silicon nitride film for copper interconnects process prepared by catalytic chemical vapor deposition method at low temperature,” Thin Solid Films, vol. 395, pp. 280–283, sep 2001.
[95] M. Tanaka, S. Saida, and Y. Tsunashima, “Film properties of low-k silicon nitride films formed by hexachlorodisilane and ammonia,” Journal of The Electrochemical Society, vol. 147, no. 6, p. 2284, 2000.
[96] S. M. George, “Atomic layer deposition: An overview,” Chemical Reviews, vol. 110, pp. 111–131, jan 2010.
[97] H. B. Profijt, S. E. Potts, M. C. M. van de Sanden, and W. M. M. Kessels, “Plasma-assisted atomic layer deposition: Basics, opportunities, and challenges,” Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol. 29, p. 050801, sep 2011.
[98] D. H. Triyoso, K. Hempel, S. Ohsiek, V. Jaschke, J. Shu, S. Mutas, K. Dittmar, J. Schaeffer, D. Utess, and M. Lenski, “Evaluation of low temperature silicon nitride spacer for high-k metal gate integration,” ECS Journal of Solid State Science and Technology, vol. 2, no. 11, pp. N222–N227,2013.
[99] S. W. King, “Plasma enhanced atomic layer deposition of SiNx:h and SiO2,” Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol. 29, p. 041501, jul 2011.
[100] H. C. M. Knoops, K. de Peuter, and W. M. M. Kessels, “Redeposition in plasma-assisted atomic layer deposition: Silicon nitride film quality ruled by the gas residence time,” Applied Physics Letters, vol. 107, p. 014102, jul 2015.
[101] W. Jang, H. Jeon, C. Kang, H. Song, J. Park, H. Kim, H. Seo, M. Leskela,and H. Jeon, “Temperature dependence of silicon nitride deposited by remote plasma atomic layer deposition,” physica status solidi (a), vol. 211,pp. 2166–2171, may 2014.
[102] S. Yokoyama, H. Goto, T. Miyamoto, N. Ikeda, and K. Shibahara, “Atomic layer controlled deposition of silicon nitride and in situ growth observation by infrared reflection absorption spectroscopy,” Applied Surface Science, vol. 112, pp. 75–81, mar 1997.
[103] H. Goto, K. Shibahara, and S. Yokoyama, “Atomic layer controlled deposition of silicon nitride with self-limiting mechanism,” Applied Physics Letters, vol. 68, pp. 3257–3259, jun 1996.
[104] W. Jang, H. Jeon, H. Song, H. Kim, J. Park, H. Kim, and H. Jeon, “The effect of plasma power on the properties of low-temperature silicon nitride deposited by RPALD for a gate spacer,” physica status solidi (a), vol. 212, pp. 2785–2790, aug 2015.
[105] A. Nakajima, Q. D. M. Khosru, T. Yoshimoto, T. Kidera, and S. Yokoyama, “NH3-annealed atomic-layer-deposited silicon nitride as a high-k gate dielectric with high reliability,” Applied Physics Letters, vol. 80, pp. 1252–1254, feb 2002.
[106] S. Yokoyama, N. Ikeda, K. Kajikawa, and Y. Nakashima, “Atomic-layer selective deposition of silicon nitride on hydrogen-terminated si surfaces,” Applied Surface Science, vol. 130-132, pp. 352–356, jun 1998.
[107] C. A. Murray, S. D. Elliott, D. Hausmann, J. Henri, and A. LaVoie, “Effect of reaction mechanism on precursor exposure time in atomic layer deposition of silicon oxide and silicon nitride,” ACS Applied Materials & Interfaces, vol. 6, pp. 10534–10541, jun 2014.
[108] T. Faraz, M. van Drunen, H. C. M. Knoops, A. Mallikarjunan, I. Buchanan, D. M. Hausmann, J. Henri, and W. M. M. Kessels, “Atomic layer deposition of wet-etch resistant silicon nitride using di(sec-butylamino)silane and n2 plasma on planar and 3d substrate topographies,” ACS Applied Materials & Interfaces, vol. 9, pp. 1858–1869, jan 2017.
[109] S. Weeks, G. Nowling, N. Fuchigami, M. Bowes, and K. Littau, “Plasma enhanced atomic layer deposition of silicon nitride using neopentasilane,” Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol. 34, p. 01A140, jan 2016.
[110] W. Jang, H. Kim, Y. Kweon, C. Jung, H. Cho, S. Shin, H. Kim, K. Lim, H. Jeon, and H. Lim, “Remote plasma atomic layer deposition of silicon nitride with bis(dimethylaminomethyl-silyl)trimethylsilyl amine and n2 plasma for gate spacer,” Journal of Vacuum Science & Technology A: Vac uum, Surfaces, and Films, vol. 36, p. 031514, may 2018.
[111] J.-M. Park, S. J. Jang, L. L. Yusup, W.-J. Lee, and S.-I. Lee, “Plasma-enhanced atomic layer deposition of silicon nitride using a novel silylamine precursor,” ACS Applied Materials & Interfaces, vol. 8, pp. 20865–20871, aug 2016.
[112] J. Klaus, A. Ott, A. Dillon, and S. George, “Atomic layer controlled growth of si3n4 films using sequential surface reactions,” Surface Science, vol. 418, pp. L14–L19, nov 1998.
[113] A. Nakajima, Q. D. Khosru, T. Yoshimoto, and S. Yokoyama, “Atomic-layer-deposited silicon-nitride/SiO2 stack––a highly potential gate dielectrics for advanced CMOS technology,” Microelectronics Reliability,vol. 42, pp. 1823–1835, dec 2002.
[114] C.-H. H. M.-h. C. Won-Jun Lee, Un-Jung Kim and S.-K. Rha, “Characteristics of silicon nitride thin films prepared by using alternating exposures of sih2cl 2 and nh 3,” Journal of the Korean Physical Society, Vol. 47, Novembe, pp. S598-S602, 2005.
[115] S. Morishita, S. Sugahara, and M. Matsumura, “Atomic-layer chemicalvapor-deposition of silicon-nitride,” Applied Surface Science, vol. 112, pp. 198–204, mar 1997.
[116] K. Park, W.-D. Yun, B.-J. Choi, H.-D. Kim, W.-J. Lee, S.-K. Rha, and C. O. Park, “Growth studies and characterization of silicon nitride thin films deposited by alternating exposures to si2cl6 and NH3,” Thin Solid Films,
vol. 517, pp. 3975–3978, may 2009.
[117] S. Yokoyama, N. Ikeda, K. Kajikawa, and Y. Nakashima, “Atomic-layer
selective deposition of silicon nitride on hydrogen-terminated si surfaces,”
Applied Surface Science, vol. 130-132, pp. 352–356, jun 1998.
[118] H. Goto, K. Shibahara, and S. Yokoyama, “Atomic layer controlled deposi-
tion of silicon nitride with self-limiting mechanism,” Applied Physics Letters, vol. 68, pp. 3257–3259, jun 1996.
[119] R. A. Ovanesyan, D. M. Hausmann, and S. Agarwal, “Low-temperature conformal atomic layer deposition of SiNx films using si2cl6 and NH3 plasma,”ACS Applied Materials & Interfaces, vol. 7, pp. 10806–10813, may 2015.
[120] W. Jang, H. Jeon, C. Kang, H. Song, J. Park, H. Kim, H. Seo, M. Leskela,and H. Jeon, “Temperature dependence of silicon nitride deposited by remote plasma atomic layer deposition,” physica status solidi (a), vol. 211,pp. 2166–2171, may 2014.
[121] D. H. Triyoso, K. Hempel, S. Ohsiek, V. Jaschke, J. Shu, S. Mutas,K. Dittmar, J. Schaeffer, D. Utess, and M. Lenski, “Evaluation of low temperature silicon nitride spacer for high-k metal gate integration,” ECS Journal of Solid State Science and Technology, vol. 2, no. 11, pp. N222–N227,2013.
[122] S. Yokoyama, H. Goto, T. Miyamoto, N. Ikeda, and K. Shibahara, “Atomic layer controlled deposition of silicon nitride and in situ growth observation by infrared reflection absorption spectroscopy,” Applied Surface Science, vol. 112, pp. 75–81, mar 1997.
[123] R. A. Ovanesyan, D. M. Hausmann, and S. Agarwal, “Low-temperature conformal atomic layer deposition of SiNx films using si2cl6 and NH3 plasma,” ACS Applied Materials & Interfaces, vol. 7, pp. 10806–10813, may 2015.
[124] C. Fang, Q.; Hodson, “Silicon nitride and silicon oxide thin films by plasma ald.,” in In Proceedings of the 8th International Conference on Atomic Layer Deposition, Bruges, Belgium, 29 June
ȉ 2 July., 2008.
[125] H. C. M. Knoops, K. de Peuter, and W. M. M. Kessels, “Redeposition in plasma-assisted atomic layer deposition: Silicon nitride film quality ruled by the gas residence time,” Applied Physics Letters, vol. 107, p. 014102, jul
2015.
[126] J.-M. Park, S. J. Jang, L. L. Yusup, W.-J. Lee, and S.-I. Lee, “Plasma-enhanced atomic layer deposition of silicon nitride using a novel silylamine
precursor,” ACS Applied Materials & Interfaces, vol. 8, pp. 20865–20871,
aug 2016.
[127] S. Suh, S. W. Ryu, S. Cho, J.-R. Kim, S. Kim, C. S. Hwang, and H. J. Kim, “Low-temperature SiON films deposited by plasma-enhanced atomic layer deposition method using activated silicon precursor,” Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol. 34, p. 01A136, jan 2016.
[128] S. King, “Plasma enhanced atomic layer deposition of SiN:h using n,” ECS, 2010.
[129] H. S. Kim, X. Meng, S. J. Kim, A. T. Lucero, L. Cheng, Y.-C. Byun, J. S. Lee, S. M. Hwang, A. L. N. Kondusamy, R. M. Wallace, G. Goodman, A. S. Wan, M. Telgenhoff, B. K. Hwang, and J. Kim, “Investigation of the physical properties of plasma enhanced atomic layer deposited silicon nitride as etch stopper,” ACS Applied Materials & Interfaces, vol. 10, pp. 44825–44833,
nov 2018.
[130] W. Jang, H. Jeon, C. Kang, H. Song, J. Park, H. Kim, H. Seo, M. Leskela, and H. Jeon, “Temperature dependence of silicon nitride deposited by remote plasma atomic layer deposition,” physica status solidi (a), vol. 211, pp. 2166–2171, may 2014.
 
 
 
 
第一頁 上一頁 下一頁 最後一頁 top
* *