帳號:guest(13.58.176.91)          離開系統
字體大小: 字級放大   字級縮小   預設字形  

詳目顯示

以作者查詢圖書館館藏以作者查詢臺灣博碩士論文系統以作者查詢全國書目
作者(中文):王保雄
作者(外文):Wang, Pao-Hsiung
論文名稱(中文):扇出型玻璃基板封裝設計之失效壽命預估及驗證研究
論文名稱(外文):Research on Failure Life Prediction and Verification of Fan-Out Glass Substrate Package Design
指導教授(中文):江國寧
指導教授(外文):Chiang, Kuo-Ning
口試委員(中文):鄭仙志
饒達仁
陳志明
劉德騏
口試委員(外文):Cheng, Xian-Zhi
Yao, Da-Jeng
Chen, Chih-Ming
Liu, De-Shin
學位類別:博士
校院名稱:國立清華大學
系所名稱:動力機械工程學系
學號:101033812
出版年(民國):109
畢業學年度:109
語文別:英文
論文頁數:136
中文關鍵詞:晶圓級封裝扇出型玻璃基板應變有限單元法3D模擬
外文關鍵詞:Fan-out packageglass substratestrainfinite elementdesign parametersimulation model
相關次數:
  • 推薦推薦:0
  • 點閱點閱:123
  • 評分評分:*****
  • 下載下載:0
  • 收藏收藏:0
下一代電子產品將需要與更輕,更薄,更小的設備的發展趨勢保持同步。 這些設備的物理要求和多功能要求將取決於高密度集成電路(IC)封裝技術,例如三維IC集成,扇出型晶圓級封裝設計和矽通孔設計。 其中,扇出型封裝技術目前是大多數研究關注的焦點,因為它使器件的組裝具有高度的集成度和較小的尺寸,並且具有價格競爭力。
扇出型設計有兩種類型:晶圓級設計和面板級設計,這兩種方法目前已在生產中使用,然在製造過程中,面板級設計有板面翹曲的風險限制,仍需要更多的研究來克服。 Si晶片具有固定的熱膨脹係數(CTE),因此可以通過模擬晶片的預期壽命來預測基於Si晶片的IC的預期壽命。本研究使用熱循環壽命預測來預測雙面扇出型結構設計,也探討考慮不同基板材料用於扇出型封裝技術IC的結構設計過程中的可行性。
使用玻璃基板是本研究創新的想法。玻璃載體的優點是它們的平整度,光滑度,可調的CTE,低功耗,超高電阻和低介電常數,所有這些優點使玻璃成為扇出型堆疊結構中有吸引力的選擇。封裝技術的發展將進一步擴大玻璃基板的產品整合功能,且輸入/輸出功能可用於直接連接基板,從而有效降低封裝成本。
在本文描述中,我們製造了一種測試載具,以載具在評估熱循環測試(OBTCT)的壽命,並將所得數據與模擬預測進行比較。 根據這些數據,我們對基於玻璃基板的扇出型結構進行了可靠性預測,以幫助確定這些材料的失效行為。我們使用了有限元素模型,結合Coffin-Mason應變方程式和Modified energy density能量方程式,探討其壽命預估的結果。
為了探索不同設計的模擬結果以及指標因素的影響,我們探索了一系列不同的設計因素,例如球墊尺寸,錫球材料特性,玻璃載體特性以及緩衝層厚度的設計。藉由使用有限單完模擬來進行玻璃基板的扇出型封裝的壽命估算,我們發現應力集中位置接近測試載具的斷裂位置,這意味著通過使用此模擬模型能準確預估出測試載具失效壽命,我們可以藉由扇出型封裝結構的壽命預估,然後對模型設計進行參數化研究,預測最佳的使用壽命結果。根據結果數據使我們能夠建立基於玻璃基板的扇出型封裝的設計規則,未來,我們可將這些研究應用於實際封裝產品的設計中,以減少實際誤差並減少實際樣品的設計時間。
關鍵詞: 晶圓級封裝、扇出型、玻璃基板、應變、有限單元法、3D模擬。
ABSTRACT
Next-generation electronic products will need to keep pace with the development trend of lighter, thinner, and smaller devices. The physical requirements and multi-functional requirements of these devices will depend on high-density integrated circuit (IC) packaging technologies, such as three-dimensional IC integration, fan-out wafer-level packaging design, and through-silicon via design. Among them, the fan-out packaging technology is currently the focus of most research, because it enables the assembly of devices to have a high degree of integration, a small size, and price competitiveness.
There are two types of fan-out design: wafer-level design and panel-level design. These two methods are currently used in production. However, during the manufacturing process, the panel-level design has the risk of board warping, and more research to overcome. The Si wafer has a fixed coefficient of thermal expansion (CTE), so the expected life of an IC based on the Si wafer can be predicted by simulating the expected life of the wafer. This study uses the thermal cycle life prediction to predict the double-sided fan-out structure design, and also explores the feasibility of considering different substrate materials for the fan-out packaging technology IC structure design process.
The use of glass substrates is an innovative idea in this research. The advantages of glass carriers are their flatness, smoothness, adjustable CTE, low power consumption, ultra-high electrical resistance and low dielectric constant, all of which make glass an attractive choice for fan-out stack structures. The development of packaging technology will further expand the product integration function of the glass substrate, and the input/output function can be used to directly connect the substrate, thereby effectively reducing the packaging cost. Ensure product reliability, the CTE of a glass substrate needs to be between the Si wafers and printed circuit boards (PCBs). Therefore, the CTE of a glass substrate must be adjusted to reduce and prevent CTE mismatch in IC packages.
In the description of this article, we have built a test vehicle to evaluate the life of the vehicle during the thermal cycling test (OBTCT) and compare the data obtained with the simulation prediction. Based on these data, we made reliability predictions for fan-out structures based on glass substrates to help determine the failure behavior of these materials. We used the finite element model, combined with the Coffin-Mason strain equation and the modified energy density energy equation to discuss the results of life prediction.
In order to explore the simulation results of different designs and the influence of index factors, we explored a series of different design factors, such as the size of the ball pad, the characteristics of the solder ball, the characteristics of the glass carrier and the design of the SBL thickness. By using the finite element simulation to estimate the life of the fan-out package with glass substrate, we found that the stress concentration position is closed to the fracture position of the test vehicle, which means that the failure of the test vehicle can be accurately predicted by using this simulation model, we can estimate the life of the fan-out package structure, and then conduct a parametric study on the model design to predict the best lifespan result. Based on the resulting data, we can establish design rules for fan-out packages based on glass substrates. In the future, we can apply these studies to the design of actual packaged products to reduce actual errors and reduce design time for actual samples.
Keywords: Fan-out package, glass substrate, strain, finite element, design parameter, Simulation model.
TABLE OF CONTENTS
ABSTRACT i
ABSTRACT (CHINESE) iii
TABLE OF CONTENTS v
LIST OF TABLES viii
LIST OF FIGURES x
CHAPTER 1 INTRODUCTION 1
1.1 Introduction to Electronic Packaging 1
1.2 Motivation of Research……………………………………………………....9
1.3 Literature Survey 13
1.3.1 Fan-out Packaging Investigation 13
1.3.2 Creep Theory Discussion 18
1.3.3 Global-Local FEM 20
1.3.4 Electronic Packaging Reliability Analysis 25
1.3.5 Package with Glass Interposer Capability 29
1.4 Research Target 33
CHAPTER 2 FUNDAMENTAL THEORIES 35
2.1 Finite Element Theory 35
2.1.1 Linear-Elastic Finite Element Theory...….…………………….…..36
2.1.2 Material Non-Linear Theory.……………..……….…………….....40
2.1.3 Numerical Methods and Convergence Criteria...…….…………....46
2.1.4 MPC Equation……………...……………………...………………48
2.1.4.1 Connecting Different Types of Units………………………...48
2.1.4.2 Connecting Different Sparse Grids…………………………..50
2.1.4.3 Establishing Rigid Regions…………………………………..52
2.2 Hardening Rule 53
2.2.1 Isotropic Hardening Rule…………….………………………….…54
2.2.2 Kinematic Hardening Rule…………………..……………..………55
2.3 Weibull Distribution Method 56
2.4 Solder-Ball Geometry Prediction Method 59
2.5 Lifetime-Prediction Method of Assembly Structure 62
2.5.1 Coffin-Manson Strain-Based Method 63
2.5.2 Modifed Energy Density Method 64
CHAPTER 3 THERMAL-CYCLE LOADING AND EXPERIMENTAL VEHICLE STRUCTURE 65
3.1 Thermal Loading of JEDEC Standard 65
3.2 Test Vehicle Structure 67
CHAPTER 4 Test Vehicle Assembly Procedure and OBTCT Experimental Result 69
4.1 Test-Vehicle Manufacturing Procedure 69
4.1.1 Process Flow of Test-Vehicle.………….….…………………………70
4.1.2 The Process Introduction of Test -Vehicle...…………………………71
4.2 PCB Design and OBTCT Results 78
CHAPTER 5 PACKAGE SOLDER-JOINT TEST VEHICLE MANUFACTURING AND RELIABILITY ASSESSMENT……………………………………………….81
5.1 Establishment of Process Simulation FEM 81
5.2 PCB Design and OBTCT Results 91
5.2.1 Effect of Glass CTE.………….….…………………………......……91
5.2.2 Effect of Different Pad Sizes....….…………………………......……92
5.2.3 Effect of SBL Thickness….......….…………………………......……95

5.3 Multipoint Constraint FEM Validation 101
5.4 3D Simulation Model and Results Discussion 108
5.5 Study of Design Factors to Meet Market Requirements 119
CHAPTER 6 CONCLUSION AND FUTURE WORK 126
6.1 Conclusion 126
6.2 Suggest Future Work 127

REFERENCES 129
[1] K. N. Chiang, Electronic Packaging, Tsang Hai Book Publishing Co., Taichung, 2006.
[2]. J. H. Lau, and Y. H, Pao, “Solder Joint Reliability of BGA, CSP, Flip Chip, and Fine Pitch SMT Assemblies,” McGraw-Hill, Inc., New York, 1997.
[3]. W. Koh, "System in Package (SiP) Technology Application" 2005 6th International Conference on Electronic Packaging Technology, Shenzhen, China, Aug. 30 – Sep. 2, 2005
[4]. A. Mertol, “Stress Analysis and Thermal Characterization of a High Pin Count PQFP,” Journal of Electronic Packaging, Vol. 114, pp. 211-220, 1992.
[5]. S. M. Heinrich, M. Schaefer, S. A. Schroeder, and P. S. Lee, “Prediction of Solder Joint Geometries in Array-Type Interconnects,” ASME Journal of Electronic Packaging, Vol. 118, pp. 114-121, 1996.
[6]. J. H. Lau, “Ball Grid Technology,” McGraw-Hill, Inc., New York, 1995.
[7]. J. H. Lau, “Critical issues of wafer level chip scale package (WLCSP) with emphasis on cost analysis and solder joint reliability,” IEEE Transactions on Electronics Packaging Manufacturing, Vol. 25, No. 1, pp. 42-50, 2002.
[8]. K. N. Chiang, “Finite Element Analysis for Ball Grid Array” Workshop on Semiconductor Processing, Packaging, and Material into Twenty-First Century, B-7, Hsinchu, Taiwan, Apr 22-24, 1997.
[9]. H. C. Cheng, K.N. Chiang, and M. H. Lee, “An Effective Approach for Three-Dimensional Finite Element Analysis of Ball Grid Array Typed Packages,” ASME Transaction Journal of Electronic Packaging, Vol.120, pp.129-134, 1998.
[10]. Y. H. Pao, E. Jih, V. Siddapureddy, X. Song, and R. Liu, “A Thermal Fatigue Model for Surface Mount Leadless Chip Resistor (LCR) Solder Joints,” Sensing, Modeling and Simulation in Engineering Electronic Packaging, EEP-Vol. 17, pp.1-12, 1996.
[11]. J. J. Liu, H. Berg, Y. Wen, S. Mulgaonker, R. Bowlby and A. Mawer, “Plastic Ball Grid (PBGA) Overview,” Material Chemistry and Physics, pp.236-244, 1995.
[12] Z. Chen, S. C. Chong, B. Zheng, B. Y. Jung, T. C. Chai, X. Zhang, "Reliability study on through mold via (TMV) for 3D microelectronic packaging under thermal and moisture loadings", 2013 IEEE 15th Electronics Packaging Technology Conference, Singapore, Singapore, December 11-13 2013.
[13] Y. J. Lin, C.C. Hsieh, C.H. Yu, C.H. Tung, and C. H. Doug, “Study of the Thermo-Mechanical Behavior of Glass Interposer for Flip Chip Packaging Applications,” Electronic Components and Technology Conference, Lake Buena Vista, FL, USA. May 31-Jun 3, 2011.
[14] V. Sukumaran, T. Bandyopadhyay, V. Sundaram and R. Tummala, “Low-Cost Thin Glass Interposers as a Superior Alternative to Silicon and Organic Interposers for Packaging of 3-D ICs”, IEEE Transaztions on Components, Packaging and Manufacturing Technology, Vol. 2, No. 9, pp. 1426-1433, 2012
[15] J. H. Lau, M. Li, L. Yang, M. Li, I. Xu, T. Chen, S. Chen, Q. X. Yong, K. Wu, N. Fan, E. Kuah, Z. Li, K. H. Tan, W. Bao, S. P. Lim, R. Beica, C. T. Ko and C. Xi, "Warpage Measurements and Characterizations of Fan-Out Wafer-Level Packaging With Large Chips and Multiple Redistributed Layers", IEEE Transactions on Components, Packaging and Manufacturing Technology, Vol. 8, No. 10, pp 1729-1737, 2018
[16] T. Shi, B. Chou, T. C. Huang, V. Sundaram, K. Panayappan, V. Smet and R. Tummala, " Design, Demonstration and Characterization of Ultra-thin Low-warpage Glass BGA Packages for Smart Mobile Application Processor", Electronic Components and Technology Conference, Las Vegas, NV, USA. May 31-Jun 3, 2016.
[17] H. Y. Li, A. Chen, S. Peng, G. Pan, and S. Chen, "Warpage Tuning Study for Multi-chip Last Fan-out Wafer Level Package", 2017 IEEE 67th Electronic Components and Technology Conference, Florida, USA, May 30 – June 2, 2017
[18] S. Chen, S. Wang, J. Hunt, W. Chen, L. Liang, G. Kao, and A. Peng, " A Comparative study of a Fan-out Packaged Product : Chip First and Chip Last", Electronic Components and Technology Conference, Las Vegas, NV, USA. May 31-Jun 3, 2016.
[19] T. T. Mattila, H. Xu, O. Ratia, and M. Paulasto-Krockel, “Effects of thermal cycling parameters on lifetimes and failure mechanism of solder interconnections,” Electronic Components and Technology Conference (ECTC) 60th, Las Vegas, Nevada, USA., June 1-4, 2010.
[20] 吳凱強,先進封裝錫球接點於不同溫度循環負載速率下之可靠度評估,國立清華大學動力機械工程學系,博士論文,2016.
[21] E. Madenci, and I. Guven, The Finite Element Method and Applications in Engineering Using ANSYS® , New York , Springer, 2006.
[22] F. X. Che, H. L. J. Pang, W. H. Zhu, W. Sun, Y. S. Sun, C. K. Wang, and H. B. Tan, “Development and Assessment of Global-Local Modeling Technique Used in Advanced Microelectronic Packaging”, International Conference on Thermal, Mechanical and Multi-Physics Simulation and Experiments in Micro-Electronics and Micro-Systems. Proceedings of EuroSimE 2007, pp. 1-7, London, UK, Apr. 16-18, 2007.
[23] B. Zhou, and Q. Baojun, “Effect of Voids on The Thermal Fatigue Reliability of PBGA Solder Joints through Submodel Technology,” 10th Electronics Packaging Technology Conference, pp. 704-708, Singapore, Dec. 9-12, 2008.
[24] A. Syed, “Updated Life Prediction Models for Solder Joints with Removal of Modeling Assumptions and Effect of Constitutive Equations”, International Conference on Thermal, Mechanical and Multi-Physics Simulation and Experiments in Micro-Electronics and Micro-Systems.Proceedings of EuroSimE 2006, pp. 1-9, Como, Italy, Apr. 24-26, 2006.
[25] J. H. Lau, Ball Grid Array Technology, McGraw-Hill, Inc., New York, pp. 160-161, 382, 1995.
[26] J. Y. Kim, I. S. Kang, M. G. Park, J. H. Kim, S. J. Cho, I. S. Park and H. S. Chun, “Characterization of Wafer Level Package for Mobile Phone Application”, in Proc. 51th Electronic Components and Technology Conference, pp. 1-4, Orlando, Florida, USA, May 29-June 1, 2001.
[27] D. H. Kim, P. Elenius and S. Barrett, “Solder Joint Reliability and Characteristics of Deformation and Crack Growth of Sn−Ag−Cu Versus Eutectic Sn−Pb on a WLP in a Thermal Cycling Test”, IEEE Transactions on Electronics Packaging Manufacturing, Vol. 25, No. 2, pp. 84-90, 2002.
[28] S. H. Dai and M. O. Wang, Reliability Analysis in Engineering Applications, Van NosTrand Reinhold, New York, pp. 337-397, 1992.
[29] J. H. Lau and Y. H. Pao, Solder Joint Reliability of BGA, CSP, Flip Chip, and Fine Pitch SMT Assemblies, McGraw-Hill, Inc., pp. 29-32, 1997.
[30] K. N. Chiang, Electronic Packaging, Tsang Hai Book Publishing Co., Taichung, pp. 121-123, 2006.
[31] M. K. Yeh, K. N. Chiang and J. A. Su, “Thermal Stress Analysis of Thermally-Enhanced Plastic Ball Grid Array Electronic Packaging,” Journal of Mechanics, vol. 18, pp. 9-16, 2002.
[32] R. S. Chen, C. H. Huang and Y. Z. Xie, “Application of Optimal Design on Twin Die Stacked Package by Reliability Indicator of Average SED Concept", Journal of Mechanics, vol. 28, pp. 135-142, 2012.
[33] H. D. Solomon, “Fatigue of 60/40 Solder” IEEE Transactions on Components, Hybrids, and Manufacturing Technology, Vol. CHMT-9, No. 4, pp. 423-432, 1986.
[34] X. Q. Shi, H. L. Pang, W. Zhou and Z. P. Wang, “Low Cycle Fatigue Analysis of Temperature and Frequency Effects in Eutectic Solder Alloy”, International Journal of Fatigue, Vol. 22, pp. 217-228, 2000.
[35] J. H. Lau, “Solder Joint Reliability of Flip Chip and Plastic Ball Grid Array Assemblies Under Thermal, Mechanical, and Vibrational Condition”, IEEE Transactions on Components, Packaging, and Manufacturing Technology−Part B, Vol. 19, No. 4, pp. 728-735, 1996.
[36] B. Zhao, A. A. Tay, “Simulation of Fatigue Life of Solder Ball Joints of an Ultra−Fine−Pitch Wafer Level Package”, 5th Electronics Packaging Technology Conference, pp. 683-686, Singapore, Dec. 10-12, 2003.
[37] J. P. Clech, “BGA, Flip-Chip and CSP Solder Joint Reliability of the Importance of Model Validation”, InterPack ‘99, pp. 211-217, , Maui, Hawaii, USA, June 13-19, 1999.
[38] R. Satoh, K. Arakawa, M. Harada and K. Matsui, “Thermal Fatigue Life of Pb-Sn Alloy Interconnections”, IEEE Transactions on Components, Hybrids and Manufacturing Technology, Vol. 14, No. 1, pp. 224-232, 1991.
[39] M. Sakurai, H. Shibuya and J. Utsunomiya, “FEM Analysis of Flip-Chip Type BGA”, IEEE/CPMT International Electronics Manufacturing Technology Symposium, pp. 131-136, Berlin, Germany, Apr. 27-29, 1998.
[40] K. N. Chiang, Z. N. Liu and C. T. Peng, “Parametric Reliability Analysis of No-Underfill Flip Chip Package”, IEEE Transactions on Components and Packaging Technologies, Vol. 24, No. 4, pp. 635-640, 2001.
[41] C. H. Cheng, K. N. Chiang, C. K. Cheng and J. C. Lin, “Study of Factor Affecting Solder Joint Fatigue Life of Thermally Enhanced Ball Grid Array Assemblies”, Journal of the Chinese Institute of Engineers, Vol. 24, No. 4, pp. 439-451, 2001.
[42] K. N. Chiang and C. M. Liu, “A Comparison of Thermal Stress/Strain Behavior of Elliptical/Round Solder Pads”, ASME Transaction, Journal of Electronic Packaging, Vol. 123, pp.127-131, 2001.
[43] C. T. Peng, H. C. Cheng and K. N. Chiang, “Reliability Analysis and Design for the Fine-pitch Flip Chip BGA Packaging”, IEEE Transactions on Components and Packaging Technologies, Vol. 27, No. 4, pp. 684-693, 2004.
[44] J. C. Lin and K. N. Chiang, “Design and Analysis of Wafer-Level CSP with Double Pad Structure”, IEEE Transactions on Components and Packaging Technologies, Vol. 28, No. 1, pp. 117-126, 2005.
[45] C. A. Yuan, C. N. Han, M. C. Yew, C. Y. Chou and K. N. Chiang, "Design, Analysis and Development of Novel Three-Dimensional Stacking WLCSP", IEEE Transaction of Advanced Packaging, Vol 28, No. 3, pp. 387-396, 2005.
[46] C. C. Lee, and K. N. Chiang, “Design and Reliability Analysis of a Novel Wafer Level Package with Stress Buffer Mechanism”, Journal of the Chinese Institute of Engineers, Vol. 29, No. 3, pp. 433-443, 2006.
[47] C. M. Liu, C. C. Lee and K. N. Chiang, “Enhancing the Reliability of Wafer Level Packaging by Using Solder Joints Layout Design”, IEEE Transactions on Component and Packaging Technologies, Vol. 29, No. 4, pp. 877-885, 2006.
[48] C. C. Lee, C. C. Lee, H. T. Ku, S. M. Chang and K. N. Chiang, "Solder Joints Layout Design and Reliability Enhancements of Wafer Level Packaging using Response Surface Methodology", Microelectronics Reliability Vol. 47, pp. 196-204, 2007.
[49] C. C. Lee, S. M. Chang and K. N. Chiang, “Sensitivity design of DL-WLCSP using DOE with factorial analysis technology”, IEEE Transactions on Advanced Packaging, vol. 30, no. 1, pp. 44-55, 2007.
[50] R. Darveaux and K. Banerji, “Fatigue Analysis of Flip Chip Assemblies using Thermal Stress Simulations and a Coffin-Manson Relation”, 41st Electronic Components and Technology Conference, pp. 797-805, Atlanta, USA, May 11-16, 1991.
[51] R. Darveaux, K. Banerji, A. Mawer and G. Dody, “Reliability of Plastic Ball Grid Array Assembly”, Chapter 13 in Ball Grid Array Technology, Ed. Lau, J. H., McGraw-Hill, pp. 379-442, 1995.
[52] R. Darveaux, “Effect of Simulation Methodology on Solder Joint Crack Growth Correlation”, 50th Electronic Components and Technology Conference, pp. 1048-1058, May 21-24, 2000, Las Vegas, Nevada, USA.
[53] R. Darveaux R., “Effect of Simulation Methodology on Solder Joint Crack Growth Correlation and Fatigue Life Prediction,” ASME Journal of Electronic Packaging, Vol. 124, No. 3, pp. 147-154, 2002.
[54] J. H. Lau, S. W. Lee and C. Chang, “Solder Joint Reliability of Wafer Level Chip Scale Packages (WLCSP) : A Time−Temperature−Dependent Creep Analysis”, ASME Journal of Electronic Packaging, Vol. 122, No. 4, pp. 311-316, 2000.
[55] X. Q. Shi, Z. P, Wang, W. Zhou, J. H. L. Pang and Q. J. Yang, “A New Creep Constitutive Model for Eutectic Solder Alloy”, ASME Journal of Electronic Packaging, Vol. 124, No. 2, pp. 85-90, 2002.
[56] S. J. Ham and S. B. Lee, “Measurement of Creep and Relaxation Behaviors of Wafer-Level CSP Assembly Using Moiré Interferometry”, ASME Journal of Electronic Packaging, Vol. 125, No. 2, pp. 282-288, 2003.
[57] A. Yeo, C. Lee and J. H. L. Pang, “Flip Chip Solder Joint Fatigue Life Model Investigation”, 4th Electronics Packaging Technology Conference, pp. 107-114, Singapore, Dec. 10-12, 2002,
[58] L. Zhang, R. Sitaraman, V. Patwardhan, L. Nguyen and N. Kelkar, “Solder Joint Reliability Model with Modified Darveaux’s Equations for the Micro SMD Wafer Level−Chip Scale Package Family”, 53rd Electronic Components and Technology Conference, pp. 572-577, New Orleans, Louisiana, USA. May 27-30, 2003
[59] L. Zhang, V. Arora, L. Nguyen and N. Kelkar, “Numerical and Experimental Analysis of Large Passivation Opening for Solder Joint Reliability Improvement of Micro SMD Packages,” Microelectronics Reliability, Vol. 44, pp. 533-541, 2004.
[60] K. Demir, S. Gandhi, T. Ogawa, R. Pucha, V. Smet, V. Sundaram, P. Markondeya Raj and R. Tummala, "First Demonstration of Copper-plated Through-Package-Via (TPV) Reliability in Ultra-thin 3D Glass Interposers with Double-side Component Assembly", Electronic Components and Technology Conference, pp. 666-671, San Diego, CA, USA. May 26-29, 2015
[61] Y. J. Lin, C. C. Hsieh, C. H. Yu, C. H. Tung, and C.H. Yu, "Study of the Thermo-Mechanical Behavior of Glass Interposer for Flip Chip Packaging Applications", Electronic Components and Technology Conference, Lake Buena Vista, FL, USA. May 31-Jun 3, 2011
[62] Tailong Shi, Bruce Chou, Ting-Chia Huang, Venky Sundaram, Kadappan Panayappan, Vanessa Smet and Rao Tummala, "Design, Demonstration and Characterization of Ultra-thin Low-warpage Glass BGA Packages for Smart Mobile Application Processor", Electronic Components and Technology Conference, pp.1465-1470, Las Vegas, NV, USA. May 31-Jun 3, 2016
[63] J. Keech, G. Piech, S. Pollard, S. Chaparala, A. Shorey and B. K. Wang, " Glass Interposer Substrates: Fabrication, Characterization and Modeling", Electronics Packaging Technology Conference (EPTC), Singapore, Dec 11-13, 2013.
[64] Y. H. Chen, D. C. Hu, T. J. Tseng, "20”x 20”Panel Size Glass Substrate Manufacturing for 2.5D SiP Application", Electronics Component & Technology Conference (ECTC), San Diego, California, USA, May 26-29, 2015.
[65] F. X. Che, K. Yamamoto, V. S. Rao and V. N. Sekhar, "Panel Warpage of Fan-Out Panel-Level Packaging Using RDL-First Technology", IEEE Transactions on Components, Packaging and Manufacturing Technology, Vol. 10, No. 2, pp. 304-313, 2020
[66] K. J. Bathe, Finite Element Procedures in Engineering Analysis: Prentice Hall, 1982.
[67] S. Timoshenko, Theory of Elasticity: Mcgraw-Hill College, 1970.
[68] W. N. Findley, J. S. Lai and K. Onaran, Creep and relaxation of nonlinear viscoelastic materials, with an introduction to linear viscoelasticity, Amsterdam; New York: North-Holland Pub. Co. sole distributors for the U.S.A. and Canada, Elsevier/North Holland, 1976.
[69] A. Schubertt, R. Dudek, E. Auerswald, A. Gollhardt, B. Michel, H. Reicbl, “Fatigue life models for SnAgCu and SnPb solder joints evaluated by experiments and simulation”, 53rd Electronic Components and Technology Conference, New Orleans, LA, May 27-30, 2003, pp. 603-610.
[70] R. D. Cook, D. S. Malkus, M. E. Plesha, and R. J. Witt, Concepts and Applications of Finite Element Analysis: Wiley; 4th edition 2001.
[71] 江佾澈,使用全域-局部有限單元法於電力模組功率循環測試之熱傳分析與可靠度評估,國立清華大學動力機械工程學系,碩士論文,2014.
[72] J. Chakrabarty, Theory of Plasticity: Butterworth-Heinemann; 3th edition, 2006.
[73] N. E. Dowling, Mechanical Behavior of Materials: Engineering Methods for Deformation, Fracture, and Fatigue, Upper Saddle River, New Jersey: Prentice-Hall, Inc, 1999.
[74] G. Z. Wang, Z. N. Cheng, K. Becker and J. Wilde, “Applying Anand model to represent the Viscoplastic Deformation Behavior of Solder Alloys”, ASME Journal of Electronic Packaging, Vol. 123, pp. 247-253, 2001
[75] W. Weibull, A Statistical Theory of the Strength of Materials, Generalstabens litografiska anstalts, Stockholm, 1939.
[76] K. N. Chiang and C. A. Yuan, “An Overview of Solder Bump Shape Prediction Algorithms with Validations”, IEEE Transactions on Advanced Packaging, Vol. 24, No. 2, pp. 158-162, 2001.
[77] Y. Gu, and T. Nakamura, “Interfacial delamination and fatigue life estimation of 3D solder bumps in flip-chip packages,” Microelectronics Reliability, Vol. 44, pp. 471-483, 2004.
[78] C. Y. Tsou, T. N. Chang, K. C. Wu, P. L. Wu and K. N. Chiang, "Reliability assessment using modified energy based model for WLCSP solder joints," 2017 International Conference on Electronics Packaging (ICEP), Japan, Apr. 19-22, 2017.
[79] 鄒承諺,以修正型能量密度法評估晶圓級封裝之可靠度,國立清華大學動力機械工程學系,碩士論文,2017.
[80] Y. C. Lee and K. N. Chiang, "Reliability Assessment of WLCSP using Energy Based Model with Inelastic Strain Energy Density", Electronics Packaging (ICEP), Niigata, Japan, April 17-20 2019.
[81] M. Motalab, M. Mustafa, J. C. Suhling, J. Zhang, J. Evans, M. J. Bozack and P. Lall, "Thermal Cycling Reliability Predictions for PBGA Assemblies That Include Aging Effects", ASME 2013 International Technical Conference and Exhibition on Packaging and Integration of Electronic and Photonic Microsystems. San Francisco, USA , 2013.
[82] J. Keech, G. Piech, S. Pollard, S. Chaparala, A. Shorey, B. K. Wang, "Glass Interposer Substrates: Fabrication, Characterization and Modeling", 2013 IEEE 15th Electronics Packaging Technology Conference, Singapore, Singapore, December 11-13 2013.
[83] B. Singh, G. Menezes, V. Jayaram, U. Ray, V. Sundaram, R. Pulugurtha, V. Smet and R. Tummala, "Board-level thermal cycling and drop-test reliability of large, ultrathin glass BGA package for smart mobile applications", IEEE Transactions on component, packaging and manufacturing technology, Vol. 7, No.5, pp. 726-733, 2017.

 
 
 
 
第一頁 上一頁 下一頁 最後一頁 top
* *